EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Power conscious scan based test of digital VLSI circuits

Download or read book Power conscious scan based test of digital VLSI circuits written by Paul Rosinger and published by . This book was released on 2003 with total page 170 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Thermal Aware Testing of Digital VLSI Circuits and Systems

Download or read book Thermal Aware Testing of Digital VLSI Circuits and Systems written by Santanu Chattopadhyay and published by CRC Press. This book was released on 2018-04-24 with total page 86 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book aims to highlight the research activities in the domain of thermal-aware testing. Thermal-aware testing can be employed both at circuit level and at system level Describes range of algorithms for addressing thermal-aware test issue, presents comparison of temperature reduction with power-aware techniques and include results on benchmark circuits and systems for different techniques This book will be suitable for researchers working on power- and thermal-aware design and the testing of digital VLSI chips

Book Power Constrained Testing of VLSI Circuits

Download or read book Power Constrained Testing of VLSI Circuits written by Nicola Nicolici and published by Springer Science & Business Media. This book was released on 2006-04-11 with total page 182 pages. Available in PDF, EPUB and Kindle. Book excerpt: This text focuses on techniques for minimizing power dissipation during test application at logic and register-transfer levels of abstraction of the VLSI design flow. It surveys existing techniques and presents several test automation techniques for reducing power in scan-based sequential circuits and BIST data paths.

Book Essentials of Electronic Testing for Digital  Memory and Mixed Signal VLSI Circuits

Download or read book Essentials of Electronic Testing for Digital Memory and Mixed Signal VLSI Circuits written by M. Bushnell and published by Springer Science & Business Media. This book was released on 2006-04-11 with total page 690 pages. Available in PDF, EPUB and Kindle. Book excerpt: The modern electronic testing has a forty year history. Test professionals hold some fairly large conferences and numerous workshops, have a journal, and there are over one hundred books on testing. Still, a full course on testing is offered only at a few universities, mostly by professors who have a research interest in this area. Apparently, most professors would not have taken a course on electronic testing when they were students. Other than the computer engineering curriculum being too crowded, the major reason cited for the absence of a course on electronic testing is the lack of a suitable textbook. For VLSI the foundation was provided by semiconductor device techn- ogy, circuit design, and electronic testing. In a computer engineering curriculum, therefore, it is necessary that foundations should be taught before applications. The field of VLSI has expanded to systems-on-a-chip, which include digital, memory, and mixed-signalsubsystems. To our knowledge this is the first textbook to cover all three types of electronic circuits. We have written this textbook for an undergraduate “foundations” course on electronic testing. Obviously, it is too voluminous for a one-semester course and a teacher will have to select from the topics. We did not restrict such freedom because the selection may depend upon the individual expertise and interests. Besides, there is merit in having a larger book that will retain its usefulness for the owner even after the completion of the course. With equal tenacity, we address the needs of three other groups of readers.

Book Low Power High Fault Coverage Test Techniques for Digital VLSI Circuits

Download or read book Low Power High Fault Coverage Test Techniques for Digital VLSI Circuits written by and published by . This book was released on with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Testing of digital VLSI circuits entails many challenges as a consequence of rapid growth of semiconductor manufacturing technology and the unprecedented levels of design complexity and the gigahertz range of operating frequencies. These challenges include keeping the average and peak power dissipation and test application time within acceptable limits. This dissertation proposes techniques to addresses these challenges during test. The first proposed technique, called bit-swapping LFSR (BS-LFSR), uses new observations concerning the output sequence of an LFSR to design a low-transition test-pattern-generator (TPG) for test-per-clock built-in self-test (BIST) to achieve reduction in the overall switching activity in the circuit-under-test (CUT). The obtained results show up to 28% power reduction for the proposed design, and up-to 63% when it is combined with another established technique. The proposed BS-LFSR is then extended for use in test-per-scan BIST. The results obtained while scanning in test vectors show up to 60% reduction in average power consumption. The BS-LFSR is then extended further to act as a multi-degree smoother for test patterns generated by conventional LFSRs before applying them to the CUT. Experimental results show up to 55% reduction in average power. Another technique that aims to reduce peak power in scan-based BIST is presented. The new technique uses a two-phase scan-chain ordering algorithm to reduce average and peak power in scan and capture cycles. Experimental results show up to 65% and 55% reduction in average and peak power, respectively. Finally, a technique that aims to significantly increase the fault coverage in test-per-scan BIST, while keeping the test-application time short, is proposed. The results obtained show a significant improvement in fault coverage and test application time compared with other techniques.

Book Power Aware Testing and Test Strategies for Low Power Devices

Download or read book Power Aware Testing and Test Strategies for Low Power Devices written by Patrick Girard and published by Springer Science & Business Media. This book was released on 2010-03-11 with total page 376 pages. Available in PDF, EPUB and Kindle. Book excerpt: Managing the power consumption of circuits and systems is now considered one of the most important challenges for the semiconductor industry. Elaborate power management strategies, such as dynamic voltage scaling, clock gating or power gating techniques, are used today to control the power dissipation during functional operation. The usage of these strategies has various implications on manufacturing test, and power-aware test is therefore increasingly becoming a major consideration during design-for-test and test preparation for low power devices. This book explores existing solutions for power-aware test and design-for-test of conventional circuits and systems, and surveys test strategies and EDA solutions for testing low power devices.

Book IDDQ Testing of VLSI Circuits

Download or read book IDDQ Testing of VLSI Circuits written by Ravi K. Gulati and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 121 pages. Available in PDF, EPUB and Kindle. Book excerpt: Power supply current monitoring to detect CMOS IC defects during production testing quietly laid down its roots in the mid-1970s. Both Sandia Labs and RCA in the United States and Philips Labs in the Netherlands practiced this procedure on their CMOS ICs. At that time, this practice stemmed simply from an intuitive sense that CMOS ICs showing abnormal quiescent power supply current (IDDQ) contained defects. Later, this intuition was supported by data and analysis in the 1980s by Levi (RACD, Malaiya and Su (SUNY-Binghamton), Soden and Hawkins (Sandia Labs and the University of New Mexico), Jacomino and co-workers (Laboratoire d'Automatique de Grenoble), and Maly and co-workers (Carnegie Mellon University). Interest in IDDQ testing has advanced beyond the data reported in the 1980s and is now focused on applications and evaluations involving larger volumes of ICs that improve quality beyond what can be achieved by previous conventional means. In the conventional style of testing one attempts to propagate the logic states of the suspended nodes to primary outputs. This is done for all or most nodes of the circuit. For sequential circuits, in particular, the complexity of finding suitable tests is very high. In comparison, the IDDQ test does not observe the logic states, but measures the integrated current that leaks through all gates. In other words, it is like measuring a patient's temperature to determine the state of health. Despite perceived advantages, during the years that followed its initial announcements, skepticism about the practicality of IDDQ testing prevailed. The idea, however, provided a great opportunity to researchers. New results on test generation, fault simulation, design for testability, built-in self-test, and diagnosis for this style of testing have since been reported. After a decade of research, we are definitely closer to practice.

Book Low Cost Power and Supply Noise Estimation and Control in Scan Testing of VLSI Circuits

Download or read book Low Cost Power and Supply Noise Estimation and Control in Scan Testing of VLSI Circuits written by Zhongwei Jiang and published by . This book was released on 2012 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Test power is an important issue in deep submicron semiconductor testing. Too much power supply noise and too much power dissipation can result in excessive temperature rise, both leading to overkill during delay test. Scan-based test has been widely adopted as one of the most commonly used VLSI testing method. The test power during scan testing comprises shift power and capture power. The power consumed in the shift cycle dominates the total power dissipation. It is crucial for IC manufacturing companies to achieve near constant power consumption for a given timing window in order to keep the chip under test (CUT) at a near constant temperature, to make it easy to characterize the circuit behavior and prevent delay test over kill. To achieve constant test power, first, we built a fast and accurate power model, which can estimate the shift power without logic simulation of the circuit. We also proposed an efficient and low power X-bit Filling process, which could potentially reduce both the shift power and capture power. Then, we introduced an efficient test pattern reordering algorithm, which achieves near constant power between groups of patterns. The number of patterns in a group is determined by the thermal constant of the chip. Experimental results show that our proposed power model has very good correlation. Our proposed X-Fill process achieved both minimum shift power and capture power. The algorithm supports multiple scan chains and can achieve constant power within different regions of the chip. The greedy test pattern reordering algorithm can reduce the power variation from 29-126 percent to 8-10 percent or even lower if we reduce the power variance threshold. Excessive noise can significantly affect the timing performance of Deep Sub-Micron (DSM) designs and cause non-trivial additional delay. In delay test generation, test compaction and test fill techniques can produce excessive power supply noise. This can result in delay test overkill. Prior approaches to power supply noise aware delay test compaction are too costly due to many logic simulations, and are limited to static compaction. We proposed a realistic low cost delay test compaction flow that guardbands the delay using a sequence of estimation metrics to keep the circuit under test supply noise more like functional mode. This flow has been implemented in both static compaction and dynamic compaction. We analyzed the relationship between delay and voltage drop, and the relationship between effective weighted switching activity (WSA) and voltage drop. Based on these correlations, we introduce the low cost delay test pattern compaction framework considering power supply noise. Experimental results on ISCAS89 circuits show that our low cost framework is up to ten times faster than the prior high cost framework. Simulation results also verify that the low cost model can correctly guardband every path's extra noise-induced delay. We discussed the rules to set different constraints in the levelized framework. The veto process used in the compaction can be also applied to other constraints, such as power and temperature.

Book Design and Test Technology for Dependable Systems on chip

Download or read book Design and Test Technology for Dependable Systems on chip written by Raimund Ubar and published by IGI Global. This book was released on 2011-01-01 with total page 550 pages. Available in PDF, EPUB and Kindle. Book excerpt: "This book covers aspects of system design and efficient modelling, and also introduces various fault models and fault mechanisms associated with digital circuits integrated into System on Chip (SoC), Multi-Processor System-on Chip (MPSoC) or Network on Chip (NoC)"--

Book Intelligent Electronic Devices

Download or read book Intelligent Electronic Devices written by Teen-Hang Meen and published by MDPI. This book was released on 2020-05-20 with total page 220 pages. Available in PDF, EPUB and Kindle. Book excerpt: In a modern technological society, electronic engineering and design innovations are both academic and practical engineering fields that involve systematic technological materialization through scientific principles and engineering designs. Engineers and designers must work together with a variety of other professionals in their quest to find systems solutions to complex problems. Rapid advances in science and technology have broadened the horizons of engineering while simultaneously creating a multitude of challenging problems in every aspect of modern life. Current research is interdisciplinary in nature, reflecting a combination of concepts and methods that often span several areas of mechanics, mathematics, electrical engineering, control engineering, and other scientific disciplines. In addition, the 2nd IEEE International Conference on Knowledge Innovation and Invention 2019 (IEEE ICKII 2019) was held in Seoul, South Korea, on 12–15 July, 2019. This book, “Intelligent Electronic Devices”, includes 13 excellent papers form 260 papers presented in this conference about intelligent electronic devices. The main goals of this book were to encourage scientists to publish their experimental and theoretical results in as much detail as possible and to provide new scientific knowledge relevant to the topics of electronics.

Book Practical Low Power Digital VLSI Design

Download or read book Practical Low Power Digital VLSI Design written by Gary K. Yeap and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 222 pages. Available in PDF, EPUB and Kindle. Book excerpt: Practical Low Power Digital VLSI Design emphasizes the optimization and trade-off techniques that involve power dissipation, in the hope that the readers are better prepared the next time they are presented with a low power design problem. The book highlights the basic principles, methodologies and techniques that are common to most CMOS digital designs. The advantages and disadvantages of a particular low power technique are discussed. Besides the classical area-performance trade-off, the impact to design cycle time, complexity, risk, testability and reusability are discussed. The wide impacts to all aspects of design are what make low power problems challenging and interesting. Heavy emphasis is given to top-down structured design style, with occasional coverage in the semicustom design methodology. The examples and design techniques cited have been known to be applied to production scale designs or laboratory settings. The goal of Practical Low Power Digital VLSI Design is to permit the readers to practice the low power techniques using current generation design style and process technology. Practical Low Power Digital VLSI Design considers a wide range of design abstraction levels spanning circuit, logic, architecture and system. Substantial basic knowledge is provided for qualitative and quantitative analysis at the different design abstraction levels. Low power techniques are presented at the circuit, logic, architecture and system levels. Special techniques that are specific to some key areas of digital chip design are discussed as well as some of the low power techniques that are just appearing on the horizon. Practical Low Power Digital VLSI Design will be of benefit to VLSI design engineers and students who have a fundamental knowledge of CMOS digital design.

Book Low Power High Fault Coverage Test Techniques for Digital Vlsi Circuits

Download or read book Low Power High Fault Coverage Test Techniques for Digital Vlsi Circuits written by Abdallatif S. Abuissa and published by . This book was released on 2009 with total page 129 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book VLSI Testing

    Book Details:
  • Author : Stanley Leonard Hurst
  • Publisher : IET
  • Release : 1998
  • ISBN : 9780852969014
  • Pages : 560 pages

Download or read book VLSI Testing written by Stanley Leonard Hurst and published by IET. This book was released on 1998 with total page 560 pages. Available in PDF, EPUB and Kindle. Book excerpt: Hurst, an editor at the Microelectronics Journal, analyzes common problems that electronics engineers and circuit designers encounter while testing integrated circuits and the systems in which they are used, and explains a variety of solutions available for overcoming them in both digital and mixed circuits. Among his topics are faults in digital circuits, generating a digital test pattern, signatures and self-tests, structured design for testability, testing structured digital circuits and microprocessors, and financial aspects of testing. The self- contained reference is also suitable as a textbook in a formal course on the subject. Annotation copyrighted by Book News, Inc., Portland, OR

Book Design of 3D Integrated Circuits and Systems

Download or read book Design of 3D Integrated Circuits and Systems written by Rohit Sharma and published by CRC Press. This book was released on 2018-09-03 with total page 302 pages. Available in PDF, EPUB and Kindle. Book excerpt: Three-dimensional (3D) integration of microsystems and subsystems has become essential to the future of semiconductor technology development. 3D integration requires a greater understanding of several interconnected systems stacked over each other. While this vertical growth profoundly increases the system functionality, it also exponentially increases the design complexity. Design of 3D Integrated Circuits and Systems tackles all aspects of 3D integration, including 3D circuit and system design, new processes and simulation techniques, alternative communication schemes for 3D circuits and systems, application of novel materials for 3D systems, and the thermal challenges to restrict power dissipation and improve performance of 3D systems. Containing contributions from experts in industry as well as academia, this authoritative text: Illustrates different 3D integration approaches, such as die-to-die, die-to-wafer, and wafer-to-wafer Discusses the use of interposer technology and the role of Through-Silicon Vias (TSVs) Presents the latest improvements in three major fields of thermal management for multiprocessor systems-on-chip (MPSoCs) Explores ThruChip Interface (TCI), NAND flash memory stacking, and emerging applications Describes large-scale integration testing and state-of-the-art low-power testing solutions Complete with experimental results of chip-level 3D integration schemes tested at IBM and case studies on advanced complementary metal–oxide–semiconductor (CMOS) integration for 3D integrated circuits (ICs), Design of 3D Integrated Circuits and Systems is a practical reference that not only covers a wealth of design issues encountered in 3D integration but also demonstrates their impact on the efficiency of 3D systems.

Book Strategies to Reduce Power During VLSI Circuit Testing

Download or read book Strategies to Reduce Power During VLSI Circuit Testing written by Subhadip Kundu and published by . This book was released on 2012-09-25 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Electronic Design Automation

Download or read book Electronic Design Automation written by Laung-Terng Wang and published by Morgan Kaufmann. This book was released on 2009-03-11 with total page 971 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book provides broad and comprehensive coverage of the entire EDA flow. EDA/VLSI practitioners and researchers in need of fluency in an "adjacent" field will find this an invaluable reference to the basic EDA concepts, principles, data structures, algorithms, and architectures for the design, verification, and test of VLSI circuits. Anyone who needs to learn the concepts, principles, data structures, algorithms, and architectures of the EDA flow will benefit from this book. Covers complete spectrum of the EDA flow, from ESL design modeling to logic/test synthesis, verification, physical design, and test - helps EDA newcomers to get "up-and-running" quickly Includes comprehensive coverage of EDA concepts, principles, data structures, algorithms, and architectures - helps all readers improve their VLSI design competence Contains latest advancements not yet available in other books, including Test compression, ESL design modeling, large-scale floorplanning, placement, routing, synthesis of clock and power/ground networks - helps readers to design/develop testable chips or products Includes industry best-practices wherever appropriate in most chapters - helps readers avoid costly mistakes

Book Low power Digital VLSI Design

Download or read book Low power Digital VLSI Design written by Abdellatif Bellaouar and published by . This book was released on 1996 with total page 530 pages. Available in PDF, EPUB and Kindle. Book excerpt: