EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Phase Measurements of EUV Mask Defects

Download or read book Phase Measurements of EUV Mask Defects written by and published by . This book was released on 2015 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than the conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.

Book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images

Download or read book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.

Book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach

Download or read book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach written by and published by . This book was released on 2015 with total page 13 pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.

Book Growth and Printability of Multilayer Phase Defects on EUV MaskBlanks

Download or read book Growth and Printability of Multilayer Phase Defects on EUV MaskBlanks written by and published by . This book was released on 2007 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The ability to fabricate defect-free mask blanks is a well-recognized challenge in enabling extreme ultraviolet lithography (EUVL) for semiconductor manufacturing. Both the specification and reduction of defects necessitate the understanding of their printability and how they are generated and grow during Mo-Si multilayer (ML) deposition. A ML phase defect can be depicted by its topographical profile on the surface as either a bump or pit, which is then characterized by height or depth and width. The complexity of such seemingly simple phase defects lies in the many ways they can be generated and the difficulties of measuring their physical shape/size and optical effects on printability. An effective way to study phase defects is to use a programmed defect mask (PDM) as 'model' test sample where the defects are produced with controlled growth on a ML blank and accurate placement in varying proximity to absorber patterns on the mask. This paper describes our recent study of ML phase defect printability with resist data from exposures of a ML PDM on the EUV micro-exposure tool (MET, 5X reduction with 0.3NA).

Book Key Challenges in EUV Mask Technology

Download or read book Key Challenges in EUV Mask Technology written by Yow-Gwo Wang and published by . This book was released on 2005 with total page 134 pages. Available in PDF, EPUB and Kindle. Book excerpt: This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.

Book Actinic Imaging and Evaluation of Phase Structures on EUV Lithography Masks

Download or read book Actinic Imaging and Evaluation of Phase Structures on EUV Lithography Masks written by and published by . This book was released on 2010 with total page 22 pages. Available in PDF, EPUB and Kindle. Book excerpt: The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of the object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.

Book Fast Simulation Methods for Non planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography

Download or read book Fast Simulation Methods for Non planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography written by Michael Christopher Lam and published by . This book was released on 2005 with total page 436 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Nanofabrication

    Book Details:
  • Author : Ampere A. Tseng
  • Publisher : World Scientific
  • Release : 2008
  • ISBN : 9812700765
  • Pages : 583 pages

Download or read book Nanofabrication written by Ampere A. Tseng and published by World Scientific. This book was released on 2008 with total page 583 pages. Available in PDF, EPUB and Kindle. Book excerpt: Many of the devices and systems used in modern industry are becoming progressively smaller and have reached the nanoscale domain. Nanofabrication aims at building nanoscale structures, which can act as components, devices, or systems, in large quantities at potentially low cost. Nanofabrication is vital to all nanotechnology fields, especially for the realization of nanotechnology that involves the traditional areas across engineering and science. This is the first book solely dedicated to the manufacturing technology in nanoscale structures, devices, and systems and is designed to satisfy the growing demands of researchers, professionals, and graduate students.Both conventional and non-conventional fabrication technologies are introduced with emphasis on multidisciplinary principles, methodologies, and practical applications. While conventional technologies consider the emerging techniques developed for next generation lithography, non-conventional techniques include scanning probe microscopy lithography, self-assembly, and imprint lithography, as well as techniques specifically developed for making carbon tubes and molecular circuits and devices.

Book Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects

Download or read book Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects written by Chris Heinz Clifford and published by . This book was released on 2010 with total page 144 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book EUV Mask Reflectivity Measurements with Micro scale Spatial Resolution

Download or read book EUV Mask Reflectivity Measurements with Micro scale Spatial Resolution written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The effort to produce defect-free mask blanks for EUV lithography relies on increasing the detection sensitivity of advanced mask inspection tools, operating at several wavelengths. They describe the unique measurement capabilities of a prototype actinic (EUV) wavelength microscope that is capable of detecting small defects and reflectivity changes that occur on the scale of microns to nanometers. The defects present in EUV masks can appear in many well-known forms: as particles that cause amplitude or phase variations in the reflected field; as surface contamination that reduces reflectivity and contrast; and as damage from inspection and use that reduces the reflectivity of the multilayer coating. This paper presents an overview of several topics where scanning actinic inspection makes a unique contribution to EUVL research. They describe the role of actinic scanning inspection in defect repair studies, observations of laser damage, actinic inspection following scanning electron microscopy, and the detection of both native and programmed defects.

Book EUV Mask Reflectivity Measurements with Micron scale Spatial Resolution

Download or read book EUV Mask Reflectivity Measurements with Micron scale Spatial Resolution written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The effort to produce defect-free mask blanks for EUV lithography relies on increasing the detection sensitivity of advanced mask inspection tools, operating at several wavelengths. We describe the unique measurement capabilities of a prototype actinic (EUV wavelength) microscope that is capable of detecting small defects and reflectivity changes that occur on the scale of microns to nanometers. Types of defects: (a) Buried Substrate Defects: particles & pits (causes amplitude and/or phase variations); (b) Surface Contamination (reduces reflectivity and (possibly) contrast); (c) Damage from Inspection and Use (reduces the reflectivity of the multilayer coating). This paper presents an overview of several topics where scanning actinic inspection makes a unique contribution to EUVL research. We describe the role of actinic scanning inspection in four cases: defect repair studies; observations of laser damage; after scanning electron microscopy; and native and programmed defects.

Book Characterization and Metrology for ULSI Technology  2003

Download or read book Characterization and Metrology for ULSI Technology 2003 written by David G. Seiler and published by American Institute of Physics. This book was released on 2003-10-08 with total page 868 pages. Available in PDF, EPUB and Kindle. Book excerpt: The worldwide semiconductor community faces increasingly difficult challenges as it moves into the manufacturing of chips with feature sizes approaching 100 nm and beyond. The magnitude of these challenges demands special attention from the metrology and analytical measurements community. New paradigms must be found. Adequate research and development for new metrology concepts are urgently needed. Topics include: integrated circuit history, challenges and overviews, front end, lithography, interconnect and back end, and critical analytical techniques. Characterization and metrology are key enablers for developing new semiconductor technology and in improving manufacturing. This book summarizes major issues and gives critical reviews of important measurement techniques that are crucial to continue the advances in semiconductor technology. It covers major aspects of process technology and most characterization techniques for silicon research, including development, manufacturing, and diagnostics. The editors believe that this book of collected papers provides a concise and effective portrayal of industry characterization needs and the way they are being addressed by industry, academia, and government to continue the dramatic progress in semiconductor technology. Hopefully, it will also provide a basis for stimulating advances in metrology and new ideas for research and development.

Book Determining the Critical Size of EUV Mask Substrate Defects

Download or read book Determining the Critical Size of EUV Mask Substrate Defects written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Determining the printability of substrate defects beneath the extreme ultraviolet (EUV) reflecting multilayer stack is an important issue in EUVL lithography. Several simulation studies have been performed in the past to determine the tolerable defect size on EUV mask blank substrates but the industry still has no exact specification based on real printability tests. Therefore, it is imperative to experimentally determine the printability of small defects on a mask blanks that are caused by substrate defects using direct printing of programmed substrate defect in an EUV exposure tools. SEMATECH fabricated bump type program defect masks using standard electron beam lithography and performed printing tests with the masks using an EUV exposure tool. Defect images were also captured using SEMATECH's Berkeley Actinic Imaging Tool in order to compare aerial defect images with secondary electron microscope images from exposed wafers. In this paper, a comprehensive understanding of substrate defect printability will be presented and printability specifications of EUV mask substrate defects will be discussed.

Book Printability and Inspectability of Programmed Pit Defects on Teh Masks in EUV Lithography

Download or read book Printability and Inspectability of Programmed Pit Defects on Teh Masks in EUV Lithography written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Printability and inspectability of phase defects in ELlVL mask originated from substrate pit were investigated. For this purpose, PDMs with programmed pits on substrate were fabricated using different ML sources from several suppliers. Simulations with 32-nm HP L/S show that substrate pits with below (almost equal to)20 nm in depth would not be printed on the wafer if they could be smoothed by ML process down to (almost equal to)1 nm in depth on ML surface. Through the investigation of inspectability for programmed pits, minimum pit sizes detected by KLA6xx, AIT, and M7360 depend on ML smoothing performance. Furthermore, printability results for pit defects also correlate with smoothed pit sizes. AIT results for pattemed mask with 32-nm HP L/S represents that minimum printable size of pits could be (almost equal to)28.3 nm of SEVD. In addition, printability of pits became more printable as defocus moves to ( - ) directions. Consequently, printability of phase defects strongly depends on their locations with respect to those of absorber patterns. This indicates that defect compensation by pattern shift could be a key technique to realize zero printable phase defects in EUVL masks.

Book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch

Download or read book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

Book Novel EUV Mask Blank Defect Repair Developments

Download or read book Novel EUV Mask Blank Defect Repair Developments written by and published by . This book was released on 2003 with total page 21 pages. Available in PDF, EPUB and Kindle. Book excerpt: The development of defect-free reticle blanks is an important challenge facing the commercialization of extreme ultraviolet lithography (EUVL). The basis of EUVL reticles are mask blanks consisting of a substrate and a reflective Mo/Si multilayer. Defects on the substrate or defects introduced during multilayer deposition can result in critical phase and amplitude defects. Amplitude- or phase-defect repair techniques are being developed with the goal to repair many of these defects. In this report, we discuss progress in two areas of defect repair: (1) We discuss the effect of the residual reflectance variation over the repair zone after amplitude-defect repair on the process window. This allows the determination of the maximum tolerable residual damage induced by amplitude defect repair. (2) We further performed a quantitative assessment of the yield improvement due to defect repair. We found that amplitude- and phase-defect repair have the potential to significantly improve mask blank yield. Our calculations further show that yield can be maximized by increasing the number of Mo/Si bilayers.

Book Determining the Critcial Size of EUV Mask Substrate Defects

Download or read book Determining the Critcial Size of EUV Mask Substrate Defects written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Determining the printability of substrate defects beneath the extreme ultraviolet (EUV) reflecting multilayer stack is an important issue in EUVL lithography. Several simulation studies have been performed in the past to determine the tolerable defect size on EUV mask blank substrates but the industry still has no exact specification based on real printability tests. Therefore, it is imperative to experimentally determine the printability of small defects on a mask blanks that are caused by substrate defects using direct printing of programmed substrate defect in an EUV exposure tool. SEMATECH fabricated bump type program defect masks using standard electron beam lithography and performed printing tests with the masks using an EUV exposure tool. Defect images were also captured using SEMATECH's Berkeley Actinic Imaging Tool in order to compare aerial defect images with secondary electron microscope images from exposed wafers. In this paper, a comprehensive understanding of substrate defect printability will be presented and printability specifications of EUV mask substrate defects will be discussed.