EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology

Download or read book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMA TECH's Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages.

Book Key Challenges in EUV Mask Technology

Download or read book Key Challenges in EUV Mask Technology written by Yow-Gwo Wang and published by . This book was released on 2005 with total page 134 pages. Available in PDF, EPUB and Kindle. Book excerpt: This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.

Book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch

Download or read book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

Book Actinic Inspection of Multilayer Defects on EUV Masks

Download or read book Actinic Inspection of Multilayer Defects on EUV Masks written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.

Book Investigation of Buried EUV Mask Defect Printability Using Actinic Inspection and Fast Simulation

Download or read book Investigation of Buried EUV Mask Defect Printability Using Actinic Inspection and Fast Simulation written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The fast simulator RADICAL and the Actinic Inspection Tool (AIT) are used in advance of availability of high volume manufacturing quality exposure tools, resists, and masks to assess the expected defect printability levels in production conditions. AIT images are analyzed to qualitatively demonstrate general trends in defect printability: defects smaller than 0.5nm tall on the multilayer surface can cause an unacceptable critical dimension (CD) change, CD change increases for taller defects, and defect printability varies asymmetrically through focus. RADICAL is used to derive quantitative limits for defect size and demonstrate the effects of focus and illumination for 22nm and 16nm dense lines. For 22nm dense lines at best focus a 0.8nm tall defect causes a 10% CD change. For 16nm lines a 0.4nm tall defect causes a 10% CD change. The CD is shown to be more sensitive to buried defects out of focus, but less sensitive to defects in focus if annular or dipole illumination is used.

Book Mask Blank Defect Detection

Download or read book Mask Blank Defect Detection written by and published by . This book was released on 2000 with total page 26 pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask blanks are the substrates that hold the master patterns for integrated circuits. Integrated circuits are semiconductor devices, such as microprocessors (mPs), dynamic random access memory (DRAMs), and application specific integrated circuits (ASICs) that are central to the computer, communication, and electronics industries. These devices are fabricated using a set of master patterns that are sequentially imaged onto light-sensitive coated silicon wafers and processed to form thin layers of insulating and conductive materials on top of the wafer. These materials form electrical paths and transistors that control the flow of electricity through the device. For the past forty years the semiconductor industry has made phenomenal improvements in device functionality, compactness, speed, power, and cost. This progress is principally due to the exponential decrease in the minimum feature size of integrated circuits, which has been reduced by a factor of (square root)2 every three years. Since 1992 the Semiconductor Industry Association (SIA) has coordinated the efforts of producing a technology roadmap for semiconductors. In the latest document, ''The International Technology Roadmap for Semiconductors: 1999'', future technology nodes (minimum feature sizes) and targeted dates were specified and are summarized in Table 1. Lithography is the imaging technology for producing a de-magnified image of the mask on the wafer. A typical de-magnification factor is 4. Mask blank defects as small as one-eighth the equivalent minimum feature size are printable and may cause device failure. Defects might be the result of the surface preparation, such as polishing, or contamination due to handling or the environment. Table 2 shows the maximum tolerable defect sizes on the mask blank for each technology node. This downward trend puts a tremendous burden on mask fabrication, particularly in the area of defect detection and reduction. A new infrastructure for mask inspection will be required to keep pace with this aggressive roadmap. Depending on the specific lithography used for a particular generation, mask inspection specifics may change, but the methodology will essentially remain the same. Mask blanks will have to undergo 100% area inspection for defects larger than the maximum acceptable size. Since masks are becoming a significant cost factor in the ownership of lithography tools, this is a critical step--patterning defective mask blanks would be an economic disaster. Inspection does not necessarily have to be done at the ultraviolet wavelength used for the lithography since defects at the mask blank level will interact with visible light, albeit very weakly. Techniques using visible light are appealing because they are familiar to the user, relatively straightforward to manufacture and safe to use, and when designed properly, extendable over many generations. The technology used in commercial wafer inspection tools is currently the prime candidate for mask blank inspection. It is based on direct detection of scattered light from the defect in one or more directions. Figure 1 shows a typical setup with detectors in both the forward scatter direction (bright-field detection) and away from the specular direction (dark-field detection). In these setups the beam and/or mask blank is scanned to achieve full inspection of the blank. The scattered signal from a defect is therefore a short pulse immersed in the dynamic background scatter from the inherent surface roughness of the mask blank and in the light scattered from the optics and mechanical parts within the instrument. State-of-the-art instruments cannot detect defects smaller than 80 nm, insufficient for the next technology node. The research done over the last year addressed defect detection using a different approach --a heterodyne interference/synchronous detection technique that has the potential of enhanced detection of the scattered light from small defects. This detection is accomplished by directly measuring the amplitude of the electric field of the scattered light using interference of the scattered light with a strong, frequency shifted, local oscillator beam. This technique could provide the basis for new visible light inspection equipment.

Book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images

Download or read book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.

Book Actinic Imaging of Native and Programmed Defects on a Full field Mask

Download or read book Actinic Imaging of Native and Programmed Defects on a Full field Mask written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non-EUV techniques. As a quantitative example, we investigate the main structural characteristics of a buried pit defect based on EUV through-focus imaging.

Book Comparison of Fast 3D Simulation and Actinic Inspection for EUV Masks with Buries Defects

Download or read book Comparison of Fast 3D Simulation and Actinic Inspection for EUV Masks with Buries Defects written by and published by . This book was released on 2009 with total page 10 pages. Available in PDF, EPUB and Kindle. Book excerpt: Aerial images for isolated defects and the interactions of defects with features are compared between the Actinic Inspection Tool (AIT) at Lawrence Berkeley National Laboratory (LBNL) and the fast EUV simulation program RADICAL. Comparisons between AIT images from August 2007 and RADICAL simulations are used to extract aberrations. At this time astigmatism was the dominant aberration with a value of 0.55 waves RMS. Significant improvements in the imaging performance of the AIT were made between August 2007 and December 2008. A good match will be shown between the most recent AIT images and RADICAL simulations without aberrations. These comparisons will demonstrate that a large defect, in this case 7nm tall on the surface, is still printable even if it is centered under the absorber line. These comparisons also suggest that the minimum defect size is between 1.5nm and 0.8nm surface height because a 1.5nm defect was printable but a 0.8nm was not. Finally, the image of a buried defect near an absorber line through focus will demonstrate an inversion in the effect of the defect from a protrusion of the dark line into the space to a protrusion of the space into the line.

Book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements

Download or read book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements written by J. S. Taylor and published by . This book was released on 2006 with total page 16 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for extreme ultraviolet (EUV) lithography. Integral to this effort is the development and characterization of mask inspection tools that are sensitive enough to detect critical defects with high confidence. Using a single programmed-defect mask with a range of buried bump-type defects, we report a comparison of measurements made in four different mask-inspection tools: one commercial tool using 488-nm wavelength illumination, one prototype tool that uses 266-nm illumination, and two non-commercial EUV ''actinic'' inspection tools. The EUV tools include a darkfield imaging microscope and a scanning microscope. Our measurements show improving sensitivity with the shorter wavelength non-EUV tool, down to 33-nm spherical-equivalent-volume diameter, for defects of this type. Measurements conditions were unique to each tool, with the EUV tools operating at a much slower inspection rate. Several defects observed with EUV inspection were below the detection threshold of the non-EUV tools.

Book Microlithography

Download or read book Microlithography written by Bruce W. Smith and published by CRC Press. This book was released on 2020-05-01 with total page 838 pages. Available in PDF, EPUB and Kindle. Book excerpt: The completely revised Third Edition to the bestselling Microlithography: Science and Technology provides a balanced treatment of theoretical and operational considerations, from fundamental principles to advanced topics of nanoscale lithography. The book is divided into chapters covering all important aspects related to the imaging, materials, and processes that have been necessary to drive semiconductor lithography toward nanometer-scale generations. Renowned experts from the world’s leading academic and industrial organizations have provided in-depth coverage of the technologies involved in optical, deep-ultraviolet (DUV), immersion, multiple patterning, extreme ultraviolet (EUV), maskless, nanoimprint, and directed self-assembly lithography, together with comprehensive descriptions of the advanced materials and processes involved. New in the Third Edition In addition to the full revision of existing chapters, this new Third Edition features coverage of the technologies that have emerged over the past several years, including multiple patterning lithography, design for manufacturing, design process technology co-optimization, maskless lithography, and directed self-assembly. New advances in lithography modeling are covered as well as fully updated information detailing the new technologies, systems, materials, and processes for optical UV, DUV, immersion, and EUV lithography. The Third Edition of Microlithography: Science and Technology authoritatively covers the science and engineering involved in the latest generations of microlithography and looks ahead to the future systems and technologies that will bring the next generations to fruition. Loaded with illustrations, equations, tables, and time-saving references to the most current technology, this book is the most comprehensive and reliable source for anyone, from student to seasoned professional, looking to better understand the complex world of microlithography science and technology.

Book Wavelength specific Reflections

Download or read book Wavelength specific Reflections written by and published by . This book was released on 2010 with total page 27 pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask inspection is essential for the success of any pattern-transfer lithography technology, and EUV Lithography in particular faces unique challenges. EUV masks resonant-reflective multilayer coatings have a narrow, wavelength-specific response that dramatically affects the way that defects appear, or disappear, at various illuminating wavelengths. Furthermore, the ever-shrinking size of 'critical' defects limits the potential effectiveness of DUV inspection techniques over time. Researchers pursuing numerous ways of finding and characterizing defects on EUV masks and have met with varying degrees of success. Their lessons inform the current, urgent exploration to select the most effective techniques for high-volume manufacturing. Ranging from basic research and demonstration experiments to commercial inspection tool prototypes, we survey the recent history of work in this area, including sixteen projects in Europe, Asia, and America. Solutions range from scanning beams to microscopy, dark field imaging to pattern transfer.

Book Multilayer Defects Nucleated by Substrate Pits

Download or read book Multilayer Defects Nucleated by Substrate Pits written by J. S. Taylor and published by . This book was released on 2006 with total page 10 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects while simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) in inspection tool. Presently, the occurrence inspection of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern. We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.

Book A Study of Defects on EUV Mask Using Blank Inspection  Patterned Mask Inspection  and Wafer Inspection

Download or read book A Study of Defects on EUV Mask Using Blank Inspection Patterned Mask Inspection and Wafer Inspection written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. yet link data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63 cm2. Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.

Book A Dual Mode Actinic EUV Mask Inspection Tool

Download or read book A Dual Mode Actinic EUV Mask Inspection Tool written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: To qualify the performance of non-actinic inspection tools, a novel EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron facility at Lawrence Berkeley National Laboratory. Similar to the older generation actinic mask inspection tool, the new system can operate in scanning mode, when mask blanks are scanned for defects using 13.5-nm in-band radiation to identify and map all locations on the mask that scatter a significant amount of EUV light. By modifying and optimizing beamline optics (11.3.2 at ALS) and replacing K-B focusing mirrors with a high quality Schwarzschild illuminator, the new system achieves an order of magnitude improvement on in-band EUV flux density at the mask, enabling faster scanning speed and higher sensitivity to smaller defects. Moreover, the system can also operate in imaging mode, when it becomes a zone-plate-based full-field EUV microscope with spatial resolution better than 100 nm. The microscope utilizes an off-axis setup, making it possible to obtain bright field images over a field-of-view of 5 x 5 [micro]m.

Book Defect Avoidance for Extreme Ultraviolet Mask Defects Using Intentional Pattern Deformation

Download or read book Defect Avoidance for Extreme Ultraviolet Mask Defects Using Intentional Pattern Deformation written by Yoo-Jin Chae and published by . This book was released on 2018 with total page 38 pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet (EUV) lithography has been adopted as the next generation lithography solution to sub 10nm technology node with many companies claiming to be ready for production by late 2018. Despite the technology's maturity for production, EUV lithography still faces a number of challenges and mask blank defect is a major challenge. Defect avoidance method has been proposed to allow the mask defects to be tolerated by hiding them under the absorber patterns. By moving the design pattern relative to the defects' positions, more defects can be mitigated with the given absorber pattern. Past works have demonstrated usefulness of some degrees of freedom, however, pattern deformation has not been a subject of study. Hence, this thesis explores the extended benefits of utilizing pattern deformation, including linear asymmetric magnification and second-order deformation, by using new proposed method based on constraint programming. In the first part of the thesis, we propose a constraint programming based method that can explore pattern shift, small angle rotation, and deformation for defect avoidance. We model the degrees of freedom as a displacement in relative defect location to the absorber, then construct a constraint programming model that takes inputs of defect location, prohibited regions, and ranges of allowed degree of freedom. The framework returns the maximum number of mitigated defects and corresponding degrees of freedom values. In the second part of the thesis, we utilized this proposed method to explore the benefit of pattern deformation. We intentionally deform the absorber pattern on the mask to allow for maximum defect avoidance, then this deformation is reversed during its printing on to the silicon wafer through scanner operations. The types of deformation explored in this thesis are linear asymmetric magnification (absorber patterns are magnified to a different x and y value) and second-order deformation where deformation is calculated as a polynomial function of the location on the pattern.

Book Aerial Image Microscopes for the Inspection of Defects in EUV Masks

Download or read book Aerial Image Microscopes for the Inspection of Defects in EUV Masks written by and published by . This book was released on 2002 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The high volume inspection equipment currently available to support development of EUV blanks is non-actinic. The same is anticipated for patterned EUV mask inspection. Once potential defects are identified and located by such non-actinic inspection techniques, it is essential to have instrumentation to perform detailed characterization, and if repairs are performed, re-evaluation. The ultimate metric for the acceptance or rejection of a mask due to a defect, is the wafer level impact. Thus measuring the aerial image for the site under question is required. An EUV Aerial Image Microscope (''AIM'') similar to the current AIM tools for 248nm and 193nm exposure wavelength is the natural solution for this task. Due to the complicated manufacturing process of EUV blanks, AIM measurements might also be beneficial to accurately assessing the severity of a blank defect. This is an additional application for an EUV AIM as compared to today's use In recognition of the critical role of an EUV AIM for the successful implementation of EUV blank and mask supply, International SEMATECH initiated this design study with the purpose to define the technical requirements for accurately simulating EUV scanner performance, demonstrating the feasibility to meet these requirements and to explore various technical approaches to building an EUV AIM tool.