EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Area selective Atomic Layer Deposition of Silicide and Oxides Using Inherent Substrate Dependent Processes

Download or read book Area selective Atomic Layer Deposition of Silicide and Oxides Using Inherent Substrate Dependent Processes written by Jong Youn Choi and published by . This book was released on 2019 with total page 135 pages. Available in PDF, EPUB and Kindle. Book excerpt: For the last three decades, the semiconductor industry continued to grow in all aspects such as the device performance, power efficiency, data process speed, manufacturing yield and costs. One of the most important factors that made this big stride possible was a scaling of metal-oxide-semiconductor field effect transistors (MOSFETs), the fundamental and core component of microelectronic devices. The miniaturization of MOSFETs allowed for the integration of billions of transistors into a single microprocessor chip, and currently the industry is looking into the issues of fabricating MOSFETs to further scale down to a sub-10 nm node using three-dimensional features. A significant challenge of fabricating MOSFETs at the sub-10 nm node is the patterning process, which requires excellent spatial uniformity, a detailed positioning of material, and perfect thickness control. To avoid the technical complexity and limitation of a conventional top-down patterning technique, lithography, a new approach of patterning MOSFET components should be developed. In this work, selective deposition of molybdenum silicide (MoSix), molybdenum silicate (MoSiOx) and hafnium oxide (HfOx) were demonstrated using selective atomic layer deposition, a bottom-up approach of nanoscale patterning for MOSFETs. Selectivities were obtained by the difference in the chemical reactivity of precursors between the different substrates of interest. The deposition was performed in a self-limiting manner or carefully controlled decomposition of the precursor which provides conformality with a sub-nanoscale thickness control. In sum, this study focuses on the inherently selective deposition processes based on the surface chemistry and the engineering techniques for selectivity enhancements which can be integrated into three-dimensional MOSFET fabrication processes.

Book Study of the Initial Surface Reactions in Atomic Layer Deposition of Oxides  Silicides and Nitrides Thin Films on Silicon Substrates

Download or read book Study of the Initial Surface Reactions in Atomic Layer Deposition of Oxides Silicides and Nitrides Thin Films on Silicon Substrates written by Karla Maria Bernal Ramos and published by . This book was released on 2014 with total page 424 pages. Available in PDF, EPUB and Kindle. Book excerpt: In this dissertation, key aspects of the surface chemistry associated with atomic layer deposition (ALD) are discussed. ALD is a novel and promising film deposition technique that can deliver precise thickness control at the angstrom or monolayer level; the self-limiting aspect of ALD makes it a unique method that can lead to excellent step coverage and conformal deposition on high aspect ratio structures. In spite of its central role in efficient film deposition processes, little is known about the mechanisms of the chemical reactions involved. Even the most basic information, such as the initial surface reactions, is in many instances unknown. There is a limited knowledge on the surface chemistry (e.g., substrate, precursor's reactivity) effects for the growth of the films. Reactivity in ALD is controlled by the nature of the substrate, where specific nucleation sites are often responsible for the initial deposition and where a change in chemistry may take place as the first layer of the growing film is formed. The precursor's reactivity towards the surface being used and its properties are fundamental aspects in an ALD process. The majority of the experiments discussed in this dissertation are devoted to the elucidation of the reaction mechanisms of the thin films. The experiments are carried out using in-situ Fourier transform infrared spectroscopy (FTIR) in order to examine the chemical composition of surface adsorbates. The use of in-situ characterization techniques is crucial for better control and understanding of thin film deposition. Knowledge of the surface chemistry underpinning the ALD processes is essential in order to design precursors in a rational way that will lead to successful film growth.

Book Atomic Layer Deposition

Download or read book Atomic Layer Deposition written by Tommi Kääriäinen and published by John Wiley & Sons. This book was released on 2013-05-28 with total page 274 pages. Available in PDF, EPUB and Kindle. Book excerpt: Since the first edition was published in 2008, Atomic Layer Deposition (ALD) has emerged as a powerful, and sometimes preferred, deposition technology. The new edition of this groundbreaking monograph is the first text to review the subject of ALD comprehensively from a practical perspective. It covers ALD's application to microelectronics (MEMS) and nanotechnology; many important new and emerging applications; thermal processes for ALD growth of nanometer thick films of semiconductors, oxides, metals and nitrides; and the formation of organic and hybrid materials.

Book Selective Chemistry of Metal Oxide Atomic Layer Deposition on Si Based Substrate Surfaces

Download or read book Selective Chemistry of Metal Oxide Atomic Layer Deposition on Si Based Substrate Surfaces written by Lei Guo and published by . This book was released on 2015 with total page 105 pages. Available in PDF, EPUB and Kindle. Book excerpt: A versatile home-made atomic layer deposition (ALD) reactor was designed and built in our lab. This reactor can be used to deposit metal oxides on both wafer substrates and porous inorganic particles. Also, a simple procedure for selective ALD has been developed for the processing of silicon wafers in order to facilitate the spatially resolved growth of thin solid films on their surfaces. Specifically, a combination of silylation and UV/ozonolysis was tested as a way to control the concentration of the surface hydroxo groups required for subsequent atomic layer deposition (ALD) of metals or oxides. Water contact angle measurements were used to evaluate the hydrophilicity/hydrophobicity of the surface, a proxy for OH surface coverage, and to optimize the UV/ozonolysis treatment. Silylation with silanes was found to be an efficient way to block the hydroxo sites and to passivate the underlying surface, and UV/O 3 treatments were shown to effectively remove the silylation layer and to regain the surface reactivity. Both O3 and 185 nm UV radiation were determined necessary for the removal of the silylation layer, and additional 254 nm radiation was found to enhance the process. Attenuated total reflection-infrared absorption spectroscopy was employed to assess the success of the silylation and UV/O 3 removal steps, and atomic force microscopy data provided evidence for the retention of the original smoothness of the surface. Selective growth of HfO2 films via TDMAHf + H2 O ALD was seen only on the UV/O3 treated surfaces; total inhibition of the deposition was observed on the untreated silylated surfaces. We believe that the silylation-UV/O 3 procedure advanced here could be easily implemented for the patterning of surfaces in many microelectronic applications.

Book Atomic Layer Deposition Applications 13

Download or read book Atomic Layer Deposition Applications 13 written by F. Roozeboom and published by The Electrochemical Society. This book was released on with total page 128 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Understanding Inhibitor based Area Selective Atomic Layer Deposition for Dielectric on dielectric Growth

Download or read book Understanding Inhibitor based Area Selective Atomic Layer Deposition for Dielectric on dielectric Growth written by Dara A. Bobb-Semple and published by . This book was released on 2020 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Electronic device fabrication today is based on 'top-down' processes with multiple lithography and etching steps. As semiconductor dimensions shrink and adopt more complex architectures, these processes are reaching their limits. One promising solution is area-selective atomic layer deposition (AS-ALD), which combines a surface modification technique and atomic layer deposition (ALD) in a 'bottom-up' approach to nanopatterning. A common approach for achieving AS-ALD involves the use of densely-packed self-assembled monolayers (SAMs) as inhibiting layers against undesired ALD growth. Incorporating this technique into fabrication schemes requires an understanding of how SAMs interact with different surfaces and how this in turn affects the ability of the SAM to act as an effective inhibitor against ALD reactions. In the first part of this thesis, a comparative study of octadecylphosphonic acid (ODPA) SAM formation on four technologically relevant metals-- Cu, Co, W and Ru--was carried out. Following SAM deposition, zinc oxide (ZnO) and aluminum oxide (Al2O3) model ALD processes were performed to assess the blocking ability of the SAM layer formed on each substrate. Surface characterization of these samples revealed that ODPA-treated Cu, Co and W inhibited the growth of ZnO and Al2O3 ALD to varying degrees, while no inhibition was observed on ODPA-treated Ru. Specifically, the ODPA-treated W prevented the growth of at least 17 nm of ZnO, compared to less than half this amount on Co and Cu. Similarly, 3-4 times more Al2O3 ALD was blocked using ODPA on W versus Cu and Co. The reaction mechanism of ODPA SAM formation and how surface properties, such as the roughness and the Lewis acid nature of the substrate, could impact that SAM's formation and in turn ALD inhibition is discussed. In the second part, a series of spectroscopic analyses were performed which provide details about the structure and coverage of the ODPA layer formed on each substrate. It was determined that the average tilt angle of the ODPA chains formed on Co, Cu and W are ~66° from the surface plane of the substrate, indicating that (on average) the molecules are oriented upright. Conversely, ODPA chains on the Ru substrate were found to be disordered. Further, it was determined that ODPA on Co and Cu formed a densely packed 'crystal-like' structure while on W and Ru, the ODPA molecules appeared to exhibit a more 'liquid-like' structure. The data indicate that although ODPA forms an incomplete layer on W, it still provides the best blocking against ZnO and Al2O3. The results highlight the importance of the chemical nature of the substrate surface in achieving SAM-based AS-ALD. Finally, since the overarching goal of this research is to achieve AS-ALD on metal/dielectric patterns (which mimic interconnect devices), the selective growth of ZnO and Al2O3 was demonstrated on Cu/SiO2 and Co/SiO2 2D-patterned substrates. Challenges in achieving SAM-assisted selective ALD and methods to improve the process on smaller feature sizes are also discussed.

Book Mechanistic Studies of Atomic Layer Deposition and Thermal Atomic Layer Etching Processes of Various Oxide Thin Films

Download or read book Mechanistic Studies of Atomic Layer Deposition and Thermal Atomic Layer Etching Processes of Various Oxide Thin Films written by Rezwanur Rahman and published by . This book was released on 2018 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Atomic layer deposition (ALD) and atomic layer etching (ALE) will be the key techniques for sub10 nm node technology. Establishing a mechanistic understanding of the underlying surface chemistry is crucial for the optimization ALD/ALE processes and their use in microelectronics device fabrication. However, non-traditional reactions occurring concurrently with ALD/ALE complicate the deposition/etching process. Herein, several mechanisms are investigated for the ALD and thermal ALE of various oxide thin films. The first study demonstrates how the coreactant can affect the deposition process for TiO2 ALD from a cyclopentadienyl-based precursor. The next study highlights an important, but mostly overlooked, phenomenon of precursor/substrate reactivity during an ALD process. The third study describes the ALD of Sc2O3 thin films using a novel precursor with ozone. The final study reveals the limitations of thermal ALE processes for Al2O3 and SiO2 thin films, and proposes possible solutions to mitigate contamination issues inherent to the etching of these films. These investigations emphasize the need for surface-sensitive characterization techniques to unravel the complexities of these ALD/ALE processes.

Book Atomic Layer Deposition Applications 5

Download or read book Atomic Layer Deposition Applications 5 written by S. de Gendt and published by The Electrochemical Society. This book was released on 2009-09 with total page 425 pages. Available in PDF, EPUB and Kindle. Book excerpt: Atomic Layer Deposition can enable precise deposition of ultra-thin, highly conformal coatings over complex 3D topography, with controlled composition and properties for a wide range of applications.

Book Atomic Layer Deposition for Semiconductors

Download or read book Atomic Layer Deposition for Semiconductors written by Cheol Seong Hwang and published by Springer Science & Business Media. This book was released on 2013-10-18 with total page 266 pages. Available in PDF, EPUB and Kindle. Book excerpt: Offering thorough coverage of atomic layer deposition (ALD), this book moves from basic chemistry of ALD and modeling of processes to examine ALD in memory, logic devices and machines. Reviews history, operating principles and ALD processes for each device.

Book Atomic Layer Deposition  ALD

Download or read book Atomic Layer Deposition ALD written by Callisto Joan MacIsaac and published by . This book was released on 2018 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Modern society demands smaller, more precise devices for both microelectronic and energy technologies. The development of methods and processes that can deposit reliably uniform, conformal thin films on the nanoscale is essential to fields as diverse as catalysts and solar cells. Therefore, atomic layer deposition (ALD), a thin-film deposition technique that accomplishes these goals by using self-limiting sequential reactions between alternating precursors to achieve atomic precision over the product film, is an important tool for the modern era. Combining ALD with molecular layer deposition (MLD), which follows the same principles as ALD but deposits entire organic molecules to build films, results in a powerful system that enables the deposition of inorganic, organic, and hybrid inorganic-organic materials. Understanding the nucleation mechanisms, surface reaction chemistry, and applications of these materials and ALD/MLD processes is essential to commercialization and wider use. Through in situ Fourier transform infrared (FTIR) spectroscopy, we studied the zinc-tin-oxide (ZTO) system, a ternary ALD process that is a combination of the zinc oxide and tin oxide binary ALD processes. Previous research had indicated that the ternary system is characterized by non-idealities in the ALD growth, and we identify as a potential cause of these effects incomplete removal of the ligands from the tetrakis(dimethylamino)tin precursor, which leads to a nucleation delay when depositing ZnO on SnO2. A significant fraction of the ligands remain on the surface during the ALD of SnO2 and endure when the process is switched to ZnO ALD. This result suggests that the occupation of surface reactive sites by these persisting ligands may be the cause of the observed nucleation delay with potential ramifications for many other binary and ternary systems where persisting ligands may be present. In addition, we studied the mechanism of ALD-grown MoS2 thin films. It was observed by atomic force microscopy (AFM), grazing incidence small angle X-ray scattering (GISAXS), and X-ray reflectivity (XRR) that nucleation proceeds by the formation of small islands that coalesce into a complete film in under 100 cycles, with further film growth failing to occur after coalescence. This inertness is attributed to the chemical inactivity of the basal planes of MoS2. It was found that the final thickness of the as-grown film is not determined by the number of ALD cycles as per the normal regime, but by the temperature that the film is deposited at. This self-limiting layer synthesis (SLS) has been reported in the literature for higher temperature depositions of MoS2, but this is the first report of the effect in a low temperature, amorphous MoS2 ALD system. The thickness of films growth by ALD with the precursors Mo(CO)6 and H2S was found to saturate at around 7 nm on both native oxide-covered silicon and bulk crystalline MoS2 substrates, which may indicate that the SLS behavior is inherent to the ALD process and not substantially a product of the substrate surface potential. Finally, we demonstrated a new ALD/MLD hybrid process that used the MoS2 ALD precursor Mo(CO)6 and the counter reagent 1,2-ethanedithiol to create a MoS2-like material with organic domains. This Mo-thiolate possesses many properties that link it to MoS2, such as activity towards the hydrogen evolution reaction (HER) and similar Raman modes, but has a significantly lower density, optical transparency, and higher geometric surface area. It was found that the process has a 1.3 Å growth per cycle and can catalyze the HER reaction at an overpotential of 294 mV at -10 mA/cm2 , which is superior to planar MoS2 and ranks the as-deposited catalyst with the best nanostructured MoS2-based catalysts. We propose that this activity comes from the higher surface area induced by the incorporation of organic chains into the films. In summary, we explored the mechanisms and nucleation behavior of several ALD systems of interest to energy applications using both in situ and ex situ analysis techniques. These studies demonstrated the importance of understanding ALD surface chemistry to the overall chemical composition of the resultant films, the ramifications of different nucleation regimes in determining morphologies, and the power of ALD/MLD in creating analogues to previously known species with improved physical properties.

Book Inherent Area Selective Oxide Deposition for Nanoscale Semiconductor Device Fabrication

Download or read book Inherent Area Selective Oxide Deposition for Nanoscale Semiconductor Device Fabrication written by Yunil Cho and published by . This book was released on 2022 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt: Nanoscale fabrication is the key issue in the fabrication of leading-edge commercial semiconductor devices. Selective deposition methods are being developed to use in conjunction with double patterning techniques for nanoscale patterning. The need for selective deposition is acute in backend metallization when multiple metals and low k dielectric layers (SiCOH) with high aspect ratios are employed. The selective oxide deposition can also be applied in nanoscale fabrication for various purposes, such as etch stop layer and dielectric-on-dielectric structure. For selective oxide deposition in backend fabrication, water-free deposition is more desirable since it can not only induce higher selectivity but also prevent damage to metals and SiCOH. This study studied the inherent selective deposition of various oxides on Si and SiO2 in preference to SiCOH. SiCOH is alkyl (-CxHy) terminated SiO2, used as a non-reactive surface. Through the reactivity difference between precursors and different surfaces, inherent selective deposition was achieved. The selective oxide deposition was also tested in the nm scale patterned region to check whether the process can be applied in nanoscale fabrication. Chapter 1 of this dissertation describes the inherent selective TiO2 deposition process. The process showed >10 nm of selective TiO2 deposition and insight into using metal alkoxide precursor in a single precursor pulsed thermal CVD process. However, the TiO2 CVD process showed the limit of the process; the selectively deposited TiO2 film became rough due to the crystallization. Chapter 2 of this dissertation describes the inherent selective HfO2/TiO2 nanolaminates pulsed CVD process. To solve the film roughness issue from Chapter 1, the nanolaminate structure of two different oxides was studied. The selective HfO2/TiO2 nanolaminate CVD process showed >10 nm of selective and amorphous HfO2/TiO2 nanolaminate deposition, which obtained selective deposition and smooth film. Chapter 3 of this dissertation describes the inherent selective AlOx pulsed CVD process. As AlOx stays amorphous when the thickness increases and is easier to etch compared to the nanolaminate structure of two different oxides from Chapter 2, this process can be an ideal selective oxide deposition process for nanoscale fabrication as a spacer or etch stop layer.

Book Atomic Layer Deposition of Functional Materials

Download or read book Atomic Layer Deposition of Functional Materials written by Thong Quang Ngo and published by . This book was released on 2015 with total page 318 pages. Available in PDF, EPUB and Kindle. Book excerpt: Atomic layer deposition (ALD) has emerged as an important technique for depositing thin films in both scientific research and industrial applications. The goal of this work is to integrate functional materials using ALD including high-[kappa] dielectric, LaAlO3, ferroelectric BaTiO3, photocatalytic CoO, and room temperature ferromagnetic thin films of Co metal for spin-transfer torque random-access memory applications. The work is also to demonstrate the formation of a quasi-two-dimensional electron gas (2-DEG) at the [gamma]-Al2O3/SrTiO3 heterointerface enabling a method for all-oxide device manufacturing using ALD. High permittivity oxide thin films are needed to replace SiO2 in complementary metal oxide semiconductor (CMOS) transistors. The replacement of SiO2 by hafnium oxide-based high-[kappa] materials in CMOS devices in 2007 was a revolutionary development in semiconductor front end of line. The continued device feature shrinking requires higher-[kappa] dielectrics, compared to HfO2-based materials. Crystalline perovskite oxides, such as SrTiO3, LaAlO3, and BaTiO3, etc. have from high to very high dielectric constant and being proposed to replace HfO2-based materials in CMOS devices if the leakage problem is resolved. The work explores the monolithic integration of crystalline perovskite oxide films with Si(001) using combined molecular beam epitaxy (MBE) and ALD techniques. Four unit cells of SrTiO3 were grown directly on Si(001) by MBE and transferred in-situ into the ALD chamber for further depositions. The integration of oxide thin films on Si(001) using the MBE-ALD technique allows us to maintain clean oxide/Si(001) interfaces since low temperatures (180-250 °C) were maintained during the ALD deposition. The goal of my work is also to explore processes to enable area selective deposition of cobalt (II) oxide, CoO. The effectiveness of poly(trimethylsilylstyrene) in selectively inhibiting surface nucleation of CoO on SiO2 and MgO substrates is demonstrated. Carbon-free cobalt thin films are formed by reducing CoO using Al and Sr metals to scavenge oxygen from CoO. The work explores the ability to control the structure and morphology of the resultant cobalt film by tuning the reduction conditions, allowing us to tune magnetic properties of the cobalt thin film. My work also focuses on the growth of [gamma]-Al2O3 on the TiO2-terminated SrTiO3 substrate at temperatures higher than 300 °C. The formation of a quasi-2-DEG is found at the [gamma]-Al2O3/TiO2-terminated SrTiO3 interface. In-situ x-ray photoelectron spectroscopy reveals the presence of Ti3+ feature at the heterointerface. Conductivity at the interface was found to be proportional to the amount of Ti3+ species. Oxide quasi-2-DEG might provide opportunities for new generations of all-oxide electronic devices using ALD.

Book Atomic Layer Deposition of Alkaline Earth Oxides

Download or read book Atomic Layer Deposition of Alkaline Earth Oxides written by Han Wang and published by . This book was released on 2013 with total page 230 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Atomic Layer Deposition and Nucleation of Metals and Metal Oxides

Download or read book Atomic Layer Deposition and Nucleation of Metals and Metal Oxides written by Berc Kalanyan and published by . This book was released on 2015 with total page 211 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Atomic Layer Deposition of Nanostructured Materials

Download or read book Atomic Layer Deposition of Nanostructured Materials written by Nicola Pinna and published by John Wiley & Sons. This book was released on 2012-09-19 with total page 472 pages. Available in PDF, EPUB and Kindle. Book excerpt: Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (due to the systematic presentation of the results at the forefront of the technique and their applications) and the ones of students and newcomers to the fi eld (through the first part detailing the basic aspects of the technique). This book is a must-have for all Materials Scientists, Surface Chemists, Physicists, and Scientists in the Semiconductor Industry.

Book Investigating the Use of Small Molecule Inhibitors for Area selective Atomic Layer Deposition

Download or read book Investigating the Use of Small Molecule Inhibitors for Area selective Atomic Layer Deposition written by Josiah Yarbrough and published by . This book was released on 2023 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt: Area-selective atomic layer deposition (AS-ALD) is a materials synthesis technique with the potential to support the production of featured materials with single-nanometer accuracy. Conventional AS-ALD has used pretreatment with organic self-assembled monolayers (SAMs) as a step to enable the controlled placement of a given ALD material by blocking film growth on certain areas of the substrate. However, SAM molecules are often on the length scale of many of the features being created and also can be challenging to volatilize. Research into low molecular-weight molecules that can inhibit growth while being compatible with high-volume manufacturing is therefore of interest. In line with this research is the need to understand the surface chemistry and interfacial phenomena that support the inhibitory performance of small molecule inhibitors (SMIs), with the final goal being to understand the best ways to control and prevent nucleation of ALD depending on the growth surface of interest. This thesis explores the potential of several small molecule inhibitors to support AS-ALD, and seeks to elucidate the functionalities that contribute to high-performance blocking.