EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Aerial Image Microscopes for the Inspection of Defects in EUV Masks

Download or read book Aerial Image Microscopes for the Inspection of Defects in EUV Masks written by and published by . This book was released on 2002 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The high volume inspection equipment currently available to support development of EUV blanks is non-actinic. The same is anticipated for patterned EUV mask inspection. Once potential defects are identified and located by such non-actinic inspection techniques, it is essential to have instrumentation to perform detailed characterization, and if repairs are performed, re-evaluation. The ultimate metric for the acceptance or rejection of a mask due to a defect, is the wafer level impact. Thus measuring the aerial image for the site under question is required. An EUV Aerial Image Microscope (''AIM'') similar to the current AIM tools for 248nm and 193nm exposure wavelength is the natural solution for this task. Due to the complicated manufacturing process of EUV blanks, AIM measurements might also be beneficial to accurately assessing the severity of a blank defect. This is an additional application for an EUV AIM as compared to today's use In recognition of the critical role of an EUV AIM for the successful implementation of EUV blank and mask supply, International SEMATECH initiated this design study with the purpose to define the technical requirements for accurately simulating EUV scanner performance, demonstrating the feasibility to meet these requirements and to explore various technical approaches to building an EUV AIM tool.

Book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images

Download or read book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.

Book Evaluating EUV Mask Pattern Imaging with Two EUV Microscopes

Download or read book Evaluating EUV Mask Pattern Imaging with Two EUV Microscopes written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare. These measurements reveal the current state of the art in at-wavelength inspection performance, and will be a useful reference as performance improves over time.

Book EUV Pattern Defect Detection Sensitivity Based on Aerial Image Linewidth Measurements

Download or read book EUV Pattern Defect Detection Sensitivity Based on Aerial Image Linewidth Measurements written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: As the quality of EUV-wavelength mask inspection microscopes improves over time, the image properties and intensity profiles of reflected light can be evaluated in ever-greater detail. The SEMATECH Berkeley Actinic Inspection Tool (AIT) is one such microscope, featuring mask resolution values that match or exceed those available through lithographic printing in current photoresists. In order to evaluate the defect detection sensitivity of the AIT for dense line patterns on typical masks, the authors study the line width roughness (LWR) on two masks, as measured in the EUV images. They report the through-focus and pitch dependence of contrast, image log slope, linewidth, and LWR. The AIT currently reaches LWR 3[sigma] values close to 9 nm for 175 nm half-pitch lines. This value is below 10% linewidth for nearly all lines routinely measured in the AIT. Evidence suggests that this lower level may arise from the mask's inherent pattern roughness. While the sensitivity limit of the AlT has not yet been established, it is clear that the AIT has the required sensitivity to detect defects that cause 10% linewidth changes in line sizes of 125 nm and larger.

Book Design and Evaluation of System Configurations for an EUV Mask Inspection Microscope

Download or read book Design and Evaluation of System Configurations for an EUV Mask Inspection Microscope written by and published by . This book was released on 2002 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: This document is the third sub-report of the EUV AIM design study being conducted at LLNL on behalf of International Sematech (ISMT). The purpose of this study as identified in section 1.2 of the statement of work is to research the basic user requirements of an actinic defect characterization tool, potential design configurations and top-level specifications. The objectives of this design study specifically identified in section 1.3 of the statement of work were to: (1) Determine the user requirements of an actinic defect characterization tool; (2) Determine if an EUV AIM tool is an appropriate platform for actinic defect characterization; (3) Determine possible design configurations and top-level performance specifications; (4) Identify potential technical issues and risks of different technical approaches; (5) Provide estimates of cost relating to different technical approaches; and (6) Provide simulated performance for key subsystems and the entire system. The sub-sections of the study to be addressed were accordingly defined in the statement of work as being: (1) Formulation of top-level specifications; (2) Identification of system configurations suitable for meeting the top-level specifications; (3) Preliminary design of imaging systems; (4) Preliminary design of illumination systems; (5) Prediction and comparison of performance through aerial image calculation; (6) Identification of sub-system requirements; (7) Identification of potential vendors; (8) Estimation of system cost; (9) Identification of technical issues; and (10) Definition of technology transfer or development required. Points 1 and 2 have already been addressed in previous reports to ISMT. This document addresses points 3 to 7, and 9 to 10 of the above list--formulation of a preliminary design of the imaging and illumination systems and the evaluation and comparison of potential designs through aerial image analysis. As such this report should be read in conjunction with and in the context of the previous two reports which separately addressed points 1 and 2 above. Item 8, estimation of system cost, will be addressed in a separate confidential report to ISMT. A number of potential configurations for an EUV AIM tool have been studied and technical aspects of the design approaches investigated. The requirements for AIM mode of emulating stepper quality imaging have been spelt out and strategies developed for assessing the suitability of different approaches to satisfying the technical requirements for AIM mode. A synopsis of the approaches considered, and conclusions reached, is presented in Table 17 below. Preliminary designs of several system configurations have been performed, and for each approach potential technical risks have been identified; illumination and source requirements have been analyzed for each implementation and may factor into selection of technical approach. It is important to note that identification of risk or required development does not disqualify a particular approach, rather it serves to raise an issue which must be addressed in any proposal to build a tool.

Book Actinic Mask Inspection at the ALS Initial Design Review

Download or read book Actinic Mask Inspection at the ALS Initial Design Review written by and published by . This book was released on 2003 with total page 44 pages. Available in PDF, EPUB and Kindle. Book excerpt: This report is the first milestone report for the actinic mask blank inspection project conducted at the VNL, which forms sub-section 3 of the Q1 2003 mask blank technology transfer program at the VNL. Specifically this report addresses deliverable 3.1.1--design review and preliminary tool design. The goal of this project is to design an actinic mask inspection tool capable of operating in two modes: high-speed scanning for the detection of multilayer defects (inspection mode), and a high-resolution aerial image mode in which the image emulates the imaging illumination conditions of a stepper system (aerial image or AIM mode). The purpose and objective of these two modes is as follows: (1) Defect inspection mode--This imaging mode is designed to scan large areas of the mask for defects EUV multilayer coatings. The goal is to detect the presence of multilayer defects on a mask blank and to store the co-ordinates for subsequent review in AIM mode, thus it is not essential that the illumination and imaging conditions match that of a production stepper. Potential uses for this imaging mode include: (a) Correlating the results obtained using actinic inspection with results obtained using other non-EUV defect inspection systems to verify that the non-EUV scanning systems are detecting all critical defects; (b) Gaining sufficient information to associate defects with particular processes, such as various stages of the multilayer deposition or different modes of operation of the deposition tool; and (c) Assessing the density and EUV impact of surface and multilayer anomalies. Because of the low defect density achieved using current multilayer coating technology it is necessary to be able to efficiently scan large areas of the mask in order to obtain sufficient statistics for use in cross-correlation experiments. Speed of operation as well as sensitivity is therefore key to operation in defect inspection mode. (2) Aerial Image Microscope (AIM) mode--In AIM mode the tool is configured so that the collected data emulates the aerial image of a stepper system, thereby enabling rapid evaluation of mask defects and patterning without the need for a resist exposure step. The main uses of the microscope in this mode would be: (a) Review of multilayer and pattern defects to determine their printability; (b) Defect review following a repair process to assess the success of the operation; (c) Investigation of the effects of illumination and NA on the printed image; (d) Process window analysis of defects and other mask features; and (e) Characterizing defects on both patterned and unpatterned masks (i.e. blanks). An essential characteristic of operation in this mode is that the illumination and imaging conditions through focus should emulate as accurately as possible that of a production stepper system. This mode is designed for local review of defects over a small sub-field of the mask; therefore it is not necessary to have the same high-speed throughput required for defect inspection mode. We first describe some technical background relating to EUV masks and defect scanning, with the aim of defining the context of the tool and experiments to be performed. We then present an overview of several candidate optical system configurations for achieve the above objectives, and analyze the ability of each system to achieve the stated project goals. Of key importance is throughput and sensitivity in inspection mode, whilst in AIM mode key points are spatial resolution and the ability to perform stepper emulation imaging. We then down-select the best candidate from this set of solutions to one system, which is then investigated in further detail with a view to producing a preliminary tool design and estimates of overall system performance.

Book Handbook of Photomask Manufacturing Technology

Download or read book Handbook of Photomask Manufacturing Technology written by Syed Rizvi and published by CRC Press. This book was released on 2018-10-03 with total page 728 pages. Available in PDF, EPUB and Kindle. Book excerpt: As the semiconductor industry attempts to increase the number of functions that will fit into the smallest space on a chip, it becomes increasingly important for new technologies to keep apace with these demands. Photomask technology is one of the key areas to achieving this goal. Although brief overviews of photomask technology exist in the literature, the Handbook of Photomask Manufacturing Technology is the first in-depth, comprehensive treatment of existing and emerging photomask technologies available. The Handbook of Photomask Manufacturing Technology features contributions from 40 internationally prominent authors from industry, academia, government, national labs, and consortia. These authors discuss conventional masks and their supporting technologies, as well as next-generation, non-optical technologies such as extreme ultraviolet, electron projection, ion projection, and x-ray lithography. The book begins with an overview of the history of photomask development. It then demonstrates the steps involved in designing, producing, testing, inspecting, and repairing photomasks, following the sequences observed in actual production. The text also includes sections on materials used as well as modeling and simulation. Continued refinements in the photomask-making process have ushered in the sub-wavelength era in nanolithography. This invaluable handbook synthesizes these refinements and provides the tools and possibilities necessary to reach the next generation of microfabrication technologies.

Book Estimated Costing of an EUV Mask Inspection Microscope

Download or read book Estimated Costing of an EUV Mask Inspection Microscope written by and published by . This book was released on 2002 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: This document is the fourth sub-report of the EUV AIM design study being conducted at LLNL on behalf of International SEMATECH (ISMT) and addresses the issue of preliminary system costing. The purpose of the LLNL study, as identified in section 1.2 of the statement of work, is to research the basic user requirements of an actinic defect characterization tool, potential design configurations and top-level specifications. The objectives of this design study specifically identified in section 1.3 of the statement of work were to: (1) Determine the user requirements of an actinic defect characterization tool; (2) Determine if an EUV AIM tool is an appropriate platform for actinic defect characterization; (3) Determine possible design configurations and top-level performance specifications; (4) Identify potential technical issues and risks of different technical approaches; (5) Provide estimates of cost relating to different technical approaches; and (6) Provide simulated performance for key subsystems and the entire system. The sub-sections of the study to be addressed were accordingly defined in the statement of work as being: (1) Formulation of top-level specifications; (2) Identification of system configurations suitable for meeting the top-level specifications; (3) Preliminary design of imaging systems; (4) Preliminary design of illumination systems; (5) Prediction and comparison of performance through aerial image calculation; (6) Identification of sub-system requirements; (7) Identification of potential vendors; (8) Estimation of system cost; (9) Identification of technical issues; and (10) Definition of technology transfer or development required. Points 1 to 7 and 9 to 10 are addressed in separate reports to ISMT. This report addresses item 8, system costing, and is provided as a separate report so that its content can be kept confidential at the discretion of ISMT. In this analysis we cost two systems--one based on normal-incidence multilayer-coated optics and another based on zone plate optics. The costing of these two systems are provided separately because the fabrication costs and technical challenges associated with each approach are different in areas relating to optics fabrication, and are highlighted by providing separate costing for the two approaches.

Book Actinic EUV Mask Inspection Beyond 0 25 NA

Download or read book Actinic EUV Mask Inspection Beyond 0 25 NA written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4x EUV stepper. Illumination uniformity is above 90% for mask areas 2-[mu]m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured [sigma] values of approximately 0.125 at 0.0875 NA.

Book Benchmarking EUV Mask Inspection Beyond 0 25 NA

Download or read book Benchmarking EUV Mask Inspection Beyond 0 25 NA written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-[mu]m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured [sigma] values of approximately 0.125 at 0.0875 NA.

Book Annual Symposium on Photomask Technology

Download or read book Annual Symposium on Photomask Technology written by and published by . This book was released on 2002 with total page 606 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book 13 2 Nm Table Top Inspection Microscope for Extreme Ultraviolet Lithography Mask Defect Characterization

Download or read book 13 2 Nm Table Top Inspection Microscope for Extreme Ultraviolet Lithography Mask Defect Characterization written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: We report on a reflection microscope that operates at 13.2-nm wavelength with a spatial resolution of 55±3 nm. The microscope uses a table-top EUV laser to acquire images of photolithography masks in 20 seconds.

Book EUV Lithography

    Book Details:
  • Author : Vivek Bakshi
  • Publisher : SPIE Press
  • Release : 2009
  • ISBN : 0819469645
  • Pages : 704 pages

Download or read book EUV Lithography written by Vivek Bakshi and published by SPIE Press. This book was released on 2009 with total page 704 pages. Available in PDF, EPUB and Kindle. Book excerpt: Editorial Review Dr. Bakshi has compiled a thorough, clear reference text covering the important fields of EUV lithography for high-volume manufacturing. This book has resulted from his many years of experience in EUVL development and from teaching this subject to future specialists. The book proceeds from an historical perspective of EUV lithography, through source technology, optics, projection system design, mask, resist, and patterning performance, to cost of ownership. Each section contains worked examples, a comprehensive review of challenges, and relevant citations for those who wish to further investigate the subject matter. Dr. Bakshi succeeds in presenting sometimes unfamiliar material in a very clear manner. This book is also valuable as a teaching tool. It has become an instant classic and far surpasses others in the EUVL field. --Dr. Akira Endo, Chief Development Manager, Gigaphoton Inc. Description Extreme ultraviolet lithography (EUVL) is the principal lithography technology aiming to manufacture computer chips beyond the current 193-nm-based optical lithography, and recent progress has been made on several fronts: EUV light sources, optics, optics metrology, contamination control, masks and mask handling, and resists. This comprehensive volume is comprised of contributions from the world's leading EUVL researchers and provides all of the critical information needed by practitioners and those wanting an introduction to the field. Interest in EUVL technology continues to increase, and this volume provides the foundation required for understanding and applying this exciting technology. About the editor of EUV Lithography Dr. Vivek Bakshi previously served as a senior member of the technical staff at SEMATECH; he is now president of EUV Litho, Inc., in Austin, Texas.

Book Mask Inspection Microscopy with 13 2 Nm Table top Laser Illumination

Download or read book Mask Inspection Microscopy with 13 2 Nm Table top Laser Illumination written by and published by . This book was released on 2008 with total page 13 pages. Available in PDF, EPUB and Kindle. Book excerpt: We report the demonstration of a reflection microscope that operates at 13.2-nm wavelength with a spatial resolution of 55 ± 3 nm. The microscope uses illumination from a table-top EUV laser to acquire aerial images of photolithography masks with a 20 second exposure time. The modulation transfer function of the optical system was characterized.

Book Optical Modeling of Fresnel Zoneplate Microscopes

Download or read book Optical Modeling of Fresnel Zoneplate Microscopes written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5 nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modeling software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes rou tinely used in the synchrotron community.

Book Microlithography

Download or read book Microlithography written by Bruce W. Smith and published by CRC Press. This book was released on 2018-10-03 with total page 864 pages. Available in PDF, EPUB and Kindle. Book excerpt: This new edition of the bestselling Microlithography: Science and Technology provides a balanced treatment of theoretical and operational considerations, from elementary concepts to advanced aspects of modern submicron microlithography. Each chapter reflects the current research and practices from the world's leading academic and industrial laboratories detailed by a stellar panel of international experts. New in the Second Edition In addition to updated information on existing material, this new edition features coverage of technologies developed over the last decade since the first edition appeared, including: Immersion Lithography 157nm Lithography Electron Projection Lithography (EPL) Extreme Ultraviolet (EUV) Lithography Imprint Lithography Photoresists for 193nm and Immersion Lithography Scatterometry Microlithography: Science and Technology, Second Edition authoritatively covers the physics, chemistry, optics, metrology tools and techniques, resist processing and materials, and fabrication methods involved in the latest generations of microlithography such as immersion lithography and extreme ultraviolet (EUV) lithography. It also looks ahead to the possible future systems and technologies that will bring the next generations to fruition. Loaded with illustrations, equations, tables, and time-saving references to the most current literature, this book is the most comprehensive and reliable source for anyone, from student to seasoned professional, looking to achieve robust, accurate, and cost-effective microlithography processes and systems.

Book A Dual Mode Actinic EUV Mask Inspection Tool

Download or read book A Dual Mode Actinic EUV Mask Inspection Tool written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: To qualify the performance of non-actinic inspection tools, a novel EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron facility at Lawrence Berkeley National Laboratory. Similar to the older generation actinic mask inspection tool, the new system can operate in scanning mode, when mask blanks are scanned for defects using 13.5-nm in-band radiation to identify and map all locations on the mask that scatter a significant amount of EUV light. By modifying and optimizing beamline optics (11.3.2 at ALS) and replacing K-B focusing mirrors with a high quality Schwarzschild illuminator, the new system achieves an order of magnitude improvement on in-band EUV flux density at the mask, enabling faster scanning speed and higher sensitivity to smaller defects. Moreover, the system can also operate in imaging mode, when it becomes a zone-plate-based full-field EUV microscope with spatial resolution better than 100 nm. The microscope utilizes an off-axis setup, making it possible to obtain bright field images over a field-of-view of 5 x 5 [micro]m.