EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Study of Low k Dielectric Reliability of Cu Dual Damascene Interconnect

Download or read book Study of Low k Dielectric Reliability of Cu Dual Damascene Interconnect written by 李守忠 and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Low and High Dielectric Constant Materials

Download or read book Low and High Dielectric Constant Materials written by Rajendra Singh and published by The Electrochemical Society. This book was released on 2000 with total page 242 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Low and High Dielectric Constant Materials

Download or read book Low and High Dielectric Constant Materials written by Mark J. Lododa and published by The Electrochemical Society. This book was released on 2000 with total page 262 pages. Available in PDF, EPUB and Kindle. Book excerpt: Contains papers from a May 2000 symposium, representing the state of the art in areas of dielectric materials science and process integration. Papers are arranged in sections on low and high dielectric constant materials, covering topics such as ammonia plasma passivation effects on properties of post-CMP low-k HSQ, characterization of ashing effects on low-k dielectric films, and electron beam curing of thin film polymer dielectrics. Other subjects include characterization of high-k dielectrics using the non-contact surface charge profiler method, and processing effects and electrical evaluation of ZrO2 formed by RTP oxidation of Zr. Loboda is affiliated with Dow Corning Corporation. c. Book News Inc.

Book

    Book Details:
  • Author :
  • Publisher :
  • Release : 1999
  • ISBN :
  • Pages : pages

Download or read book written by and published by . This book was released on 1999 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Low Dielectric Constant Materials for IC Applications

Download or read book Low Dielectric Constant Materials for IC Applications written by Paul S. Ho and published by Springer Science & Business Media. This book was released on 2003 with total page 340 pages. Available in PDF, EPUB and Kindle. Book excerpt: Low dielectric constant materials are an important component of microelectronic devices. This comprehensive book covers the latest low-dielectric-constant (low-k) materials technology, thin film materials characterization, integration and reliability for back-end interconnects and packaging applications in microelectronics. Highly informative contributions from leading academic and industrial laboratories provide comprehensive information about materials technologies for

Book Materials  Technology and Reliability for Advanced Interconnects 2005

Download or read book Materials Technology and Reliability for Advanced Interconnects 2005 written by Paul R. Besser and published by Cambridge University Press. This book was released on 2014-06-05 with total page 430 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book, first published in 2005, brings together leading modelers and experimentalists to discuss the plethora of process and reliability issues associated with depositing, characterizing and integrating novel and existing barriers, metals and ultralow-k dielectrics into reliable high-performance interconnects that can be robustly packaged. Section I focuses on low-k dielectric integration. Manuscripts highlight the importance of interface integrity and adhesion, the issue of process-induced dielectric damage and the need for pore sealing methods for low-k films. Channel cracking is addressed by several contributions. Cu metallization and barrier challenges are discussed in a section on metallization. Mechanical stress is highlighted in a reliability section. Contributions here provide a fundamental understanding of the issues of stress and stress relaxation in Cu films and lines encapsulated in low-k dielectrics. Presentations on electromigration, leakage and time-dependent dielectric breakdown, as well as thermal and mechanical fatigue, are also featured.

Book Low K Dielectric Materials Technology

Download or read book Low K Dielectric Materials Technology written by Semiconductor Equipment and Materials International and published by . This book was released on 1999-07-01 with total page 100 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Design Tool and Methodologies for Interconnect Reliability Analysis in Integrated Circuits

Download or read book Design Tool and Methodologies for Interconnect Reliability Analysis in Integrated Circuits written by Syed Mohiul Alam and published by . This book was released on 2004 with total page 204 pages. Available in PDF, EPUB and Kindle. Book excerpt: Total on-chip interconnect length has been increasing exponentially with technology scaling. Consequently, interconnect-driven design is an emerging trend in state-of-the- art integrated circuits. Cu-based interconnect technology is expected to meet some of the challenges of technology scaling. However, Cu interconnects still pose a reliability concern due to electromigration-induced failure over time. The major contribution of this thesis is a new reliability CAD tool, SysRel, for thermal-aware reliability analysis with either Al or Cu metallization technology in conventional and three-dimensional integrated circuits. An interconnect tree is the fundamental reliability unit for circuit-level reliability assessments for metallization schemes with fully-blocking boundaries at the vias. When vias do not block electromigration as indicated in some Cu experimental studies, multiple trees linked by a non-blocking via are merged to create a single fundamental reliability unit. SysRel utilizes a tree-based hierarchical analysis that sufficiently captures the differences between electromigration behavior in Al and Cu metallizations. The hierarchical flow first identifies electromigration-critical nets or "mortal" trees, applies a default model to estimate the lifetimes of individual trees, and then produces a set of full-chip reliability metrics based on stochastic analysis using the desired lifetime of the circuit. We have exercised SysRel to compare layout-specific reliability with Cu and Al metallizations in various circuits and circuit elements. Significantly improved test-level reliability in Cu is required to achieve equivalent circuit-level reliability. The required improvement will increase as low-k dielectric materials are introduced and liner thicknesses are reduced in future.

Book Dual Damascene Copper Interconnects with Low k Polymer Dielectrics

Download or read book Dual Damascene Copper Interconnects with Low k Polymer Dielectrics written by David T. Price and published by . This book was released on 1998 with total page 256 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Mechanical Reliability of Porous Low k Dielectrics for Advanced Interconnect

Download or read book Mechanical Reliability of Porous Low k Dielectrics for Advanced Interconnect written by Yoonki Sa and published by . This book was released on 2015 with total page 110 pages. Available in PDF, EPUB and Kindle. Book excerpt: Continuous scaling down of critical dimensions in interconnect structures requires the use of ultralow dielectric constant (k) films as interlayer dielectrics to reduce resistancecapacitance delays. Porous carbon-doped silicon oxide (p-SiCOH) dielectrics have been the leading approach to produce these ultralow-k materials. However, embedding of porosity into dielectric layer necessarily decreases the mechanical reliability and increases its susceptibility to adsorption of potentially deleterious chemical species during device fabrication process. Among those, exposure of porous-SiCOH low-k (PLK) dielectrics to oxidizing plasma environment causes the increase in dielectric constant and their vulnerability to mechanical instability of PLKs due to the loss of methyl species and increase in moisture uptake. These changes in PLK properties and physical stability have been persisting challenges for next-generation interconnects because they are the sources of failure in interconnect integration as well as functional and physical failures appearing later in IC device manufacturing. It is therefore essential to study the fundamentals of the interactions on p-SiCOH matrix induced by plasma exposure and find an effective and easyto- implement way to reverse such changes by repairing damage in PLK structure. From these perspectives, the present dissertation proposes 1) a fundamental understanding of structural transformation occurring during oxidative plasma exposure in PLK matrix structure and 2) its restoration by using silylating treatment, soft x-ray and inert Ar-plasma radiation, respectively. Equally important, 3) as an alternative way of increasing the thermomechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore morphology is fabricated and investigated. Based on the investigations, stability of PLK films studied by time-dependent ball indentation tester under the elevated temperature, variation in film thickness and dielectric constant, shows striking difference with small change in the chemical bond structure. Comparison of peak extracted by using FTIR (Fourier transform infrared spectroscopy) reveals that viscoplastic deformation and dielectric constant change correctly reflect the evolution in morphological structure of Si-O-Si peak. It is also found that hydrophilic nature of PLK matrix induced by silanol group is more involved with viscoplastic deformation rate and cage-like crosslinking in Si-O-Si peak is responsible for dielectric constant change. However, the level of instability driven by plasma exposure in PLK matrix is found to recover and desired mechanical and electrical properties are obtained by modifying the chemical bond configuration. Silylation process by HMDS (hexamethyldisilazane) works on recovery of hydrophobicity because it replenishes -C while removing -OH bonds. Contact angle is restored by controlling process temperature, however, the silylating agent cannot penetrate deep into PLK matrix without an adequate medium such as supercritical CO2, making it difficult to implement. As a way of overcoming the limitation of UV cure, soft x-ray cure with Al K[alph] target is applied to induce gentle reconfiguration of chemical bond. It is possible to break bond links selectively by controlling x-ray energy level and also reduce thermal curing temperature due to the increased penetration depth. As a result of soft x-ray cure, film thickness loss almost not occurred. However, influence of x-ray radiation on the moisture removal is limited. Basically, oxidative plasma damage appears in two extensive areas. The first is the loss of -C from PLK matrix, and the second is the increase in hydrophilic nature involved with the formation of Si-OH terminal bonds and H2O. Both alternations cause the dielectric constant to degrade because of increased density and/or loss of free volume, but the second causes PLK to lose thermal and mechanical stability because Si-OH and H2O act as catalysts for reactions that break the cross-linked backbone. Clearly, both changes in PLK chemistry and bond structure must be addressed in order for any repair method to be favorable. For this reason, Ar plasma treatment with low energy ions is employed to repair the plasma induced damage by creating the desired changes in the film matrix without a significant loss of other properties. Our approach of using inert plasma as a way for damage recovery is motivated by the realization that there is no possibility of chemical reaction with any organic species, driving the energy transfer only from the plasma species towards the respective film matrix. As results, after applying Ar plasma beam treatment followed by annealing on damaged PLK films, the resistance against thermal instability and viscoplastic deformation is found to be improved. Ball indentation depth of the films with Ar plasma process is drastically reduced at the identical condition. More noticeable is the fact that such alternation is converted towards a dehydration reaction under hydrostatic thermal pressure, which causes dielectric constant to decrease and films shrinkage to restore during reconstruction of polymer chains. It is suggested that the immediate event of an Ar plasma beam radiation is to deposit energy from the plasma species (ions, electrons) and this energy input produces the excited state species because Ar cannot chemically react with the film matrix. As a consequence, the radical sites are generated at the less stable area such as colony boundary or pore surface with the decay of the excited species, leading to the production of free radicals by an energy transfer to the bonds which are to be broken. Then, the activated sites experience chemical bond rearrangement by chain-scission, branching, or cross-linking. In our case, crosslink with C is involved with silylmethylene (Si-(CH2)x- Si) groups and it is turned out that some of these groups are converted to methyl groups terminally bonded to siloxane backbone structure under 300~400oC by reaction with -OH, and simultaneously creating a new Si-O-Si crosslink. As an alternative way of increasing the thermo-mechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore morphology is fabricated. Since pore surface is susceptible to be damaged by BEOL integration damage, pore morphology in terms of size, distribution, and connectivity should be controlled in order to increase the robustness of PLK dielectrics. Generally, pores in PLK matrix are created by depositing organic fragment (called 'porogen') into the film and removed later by thermal and electron beam cure to form porous PLK layer (; Subtractive deposition). However, during the curing Si-O-Si backbone crosslink is broken and pores are easily interconnected, leading to vulnerable structure to the extrinsic damage. Constitutive deposition approach is feasible for the introduction of smaller nano-pores with little or no interconnectivity by steric hindrance. Due to the closed pore system, thermally-induced stress and plasma-induced damage is restricted merely to the surface of the dielectric film. This is attributed to the stable siloxane (Si-O-Si) backbone and the terminally bonded methyl group attached to silicon (Si-CH3), inducing steric hindrance that lowers the density of the films. The low dielectric constant and mechanical stability are closely involved with the formation of the Si-O-Si cage-like structure and an appropriate combination of stable Si-O-Si, Si-CH3 groups. Based on the FTIR and XPS spectra, it is concluded that the formation of the Si-O-Si cage-like structure was enhanced by structural method. It is believed that all these changes are beneficial for improving PLK stability as will be detailed in this dissertation. Especially, the originality and particular advantage of this study regarding plasma-induced damage repair will be highlighted.

Book Advanced Interconnects for ULSI Technology

Download or read book Advanced Interconnects for ULSI Technology written by Mikhail Baklanov and published by John Wiley & Sons. This book was released on 2012-02-17 with total page 616 pages. Available in PDF, EPUB and Kindle. Book excerpt: Finding new materials for copper/low-k interconnects is critical to the continuing development of computer chips. While copper/low-k interconnects have served well, allowing for the creation of Ultra Large Scale Integration (ULSI) devices which combine over a billion transistors onto a single chip, the increased resistance and RC-delay at the smaller scale has become a significant factor affecting chip performance. Advanced Interconnects for ULSI Technology is dedicated to the materials and methods which might be suitable replacements. It covers a broad range of topics, from physical principles to design, fabrication, characterization, and application of new materials for nano-interconnects, and discusses: Interconnect functions, characterisations, electrical properties and wiring requirements Low-k materials: fundamentals, advances and mechanical properties Conductive layers and barriers Integration and reliability including mechanical reliability, electromigration and electrical breakdown New approaches including 3D, optical, wireless interchip, and carbon-based interconnects Intended for postgraduate students and researchers, in academia and industry, this book provides a critical overview of the enabling technology at the heart of the future development of computer chips.

Book Reliability Physics and Engineering

Download or read book Reliability Physics and Engineering written by J. W. McPherson and published by Springer Science & Business Media. This book was released on 2013-06-03 with total page 406 pages. Available in PDF, EPUB and Kindle. Book excerpt: "Reliability Physics and Engineering" provides critically important information for designing and building reliable cost-effective products. The textbook contains numerous example problems with solutions. Included at the end of each chapter are exercise problems and answers. "Reliability Physics and Engineering" is a useful resource for students, engineers, and materials scientists.