EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Mask Blank Defect Detection

Download or read book Mask Blank Defect Detection written by and published by . This book was released on 2000 with total page 26 pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask blanks are the substrates that hold the master patterns for integrated circuits. Integrated circuits are semiconductor devices, such as microprocessors (mPs), dynamic random access memory (DRAMs), and application specific integrated circuits (ASICs) that are central to the computer, communication, and electronics industries. These devices are fabricated using a set of master patterns that are sequentially imaged onto light-sensitive coated silicon wafers and processed to form thin layers of insulating and conductive materials on top of the wafer. These materials form electrical paths and transistors that control the flow of electricity through the device. For the past forty years the semiconductor industry has made phenomenal improvements in device functionality, compactness, speed, power, and cost. This progress is principally due to the exponential decrease in the minimum feature size of integrated circuits, which has been reduced by a factor of (square root)2 every three years. Since 1992 the Semiconductor Industry Association (SIA) has coordinated the efforts of producing a technology roadmap for semiconductors. In the latest document, ''The International Technology Roadmap for Semiconductors: 1999'', future technology nodes (minimum feature sizes) and targeted dates were specified and are summarized in Table 1. Lithography is the imaging technology for producing a de-magnified image of the mask on the wafer. A typical de-magnification factor is 4. Mask blank defects as small as one-eighth the equivalent minimum feature size are printable and may cause device failure. Defects might be the result of the surface preparation, such as polishing, or contamination due to handling or the environment. Table 2 shows the maximum tolerable defect sizes on the mask blank for each technology node. This downward trend puts a tremendous burden on mask fabrication, particularly in the area of defect detection and reduction. A new infrastructure for mask inspection will be required to keep pace with this aggressive roadmap. Depending on the specific lithography used for a particular generation, mask inspection specifics may change, but the methodology will essentially remain the same. Mask blanks will have to undergo 100% area inspection for defects larger than the maximum acceptable size. Since masks are becoming a significant cost factor in the ownership of lithography tools, this is a critical step--patterning defective mask blanks would be an economic disaster. Inspection does not necessarily have to be done at the ultraviolet wavelength used for the lithography since defects at the mask blank level will interact with visible light, albeit very weakly. Techniques using visible light are appealing because they are familiar to the user, relatively straightforward to manufacture and safe to use, and when designed properly, extendable over many generations. The technology used in commercial wafer inspection tools is currently the prime candidate for mask blank inspection. It is based on direct detection of scattered light from the defect in one or more directions. Figure 1 shows a typical setup with detectors in both the forward scatter direction (bright-field detection) and away from the specular direction (dark-field detection). In these setups the beam and/or mask blank is scanned to achieve full inspection of the blank. The scattered signal from a defect is therefore a short pulse immersed in the dynamic background scatter from the inherent surface roughness of the mask blank and in the light scattered from the optics and mechanical parts within the instrument. State-of-the-art instruments cannot detect defects smaller than 80 nm, insufficient for the next technology node. The research done over the last year addressed defect detection using a different approach --a heterodyne interference/synchronous detection technique that has the potential of enhanced detection of the scattered light from small defects. This detection is accomplished by directly measuring the amplitude of the electric field of the scattered light using interference of the scattered light with a strong, frequency shifted, local oscillator beam. This technique could provide the basis for new visible light inspection equipment.

Book Method and Apparatus for Inspecting Reflection Masks for Defects

Download or read book Method and Apparatus for Inspecting Reflection Masks for Defects written by and published by . This book was released on 2003 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: An at-wavelength system for extreme ultraviolet lithography mask blank defect detection is provided. When a focused beam of wavelength 13 nm is incident on a defective region of a mask blank, three possible phenomena can occur. The defect will induce an intensity reduction in the specularly reflected beam, scatter incoming photons into an off-specular direction, and change the amplitude and phase of the electric field at the surface which can be monitored through the change in the photoemission current. The magnitude of these changes will depend on the incident beam size, and the nature, extent and size of the defect. Inspection of the mask blank is performed by scanning the mask blank with 13 nm light focused to a spot a few .mu.m in diameter, while measuring the reflected beam intensity (bright field detection), the scattered beam intensity (dark-field detection) and/or the change in the photoemission current.

Book Key Challenges in EUV Mask Technology

Download or read book Key Challenges in EUV Mask Technology written by Yow-Gwo Wang and published by . This book was released on 2005 with total page 134 pages. Available in PDF, EPUB and Kindle. Book excerpt: This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.

Book Detectability and Printability of EUVL Mask Blank Defects for The32 Nm HP Node

Download or read book Detectability and Printability of EUVL Mask Blank Defects for The32 Nm HP Node written by and published by . This book was released on 2007 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all printable defects; therefore, understanding defect printability criteria is critical to this tool development. In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.

Book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology

Download or read book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMA TECH's Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages.

Book Actinic Inspection of Multilayer Defects on EUV Masks

Download or read book Actinic Inspection of Multilayer Defects on EUV Masks written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.

Book EUV Lithography Mask Blank Defect Inspection

Download or read book EUV Lithography Mask Blank Defect Inspection written by Yun Lin and published by . This book was released on 1998 with total page 38 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book A Study of Defects on EUV Mask Using Blank Inspection  Patterned Mask Inspection  and Wafer Inspection

Download or read book A Study of Defects on EUV Mask Using Blank Inspection Patterned Mask Inspection and Wafer Inspection written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. yet link data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63 cm2. Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.

Book Low defect Reflective Mask Blanks for Extreme Ultraviolet Lithography

Download or read book Low defect Reflective Mask Blanks for Extreme Ultraviolet Lithography written by and published by . This book was released on 1999 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA roadmap well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm2 @ 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm2 for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm2 in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10-2/cm2 level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling.

Book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch

Download or read book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

Book Actinic Mask Inspection at the ALS Initial Design Review

Download or read book Actinic Mask Inspection at the ALS Initial Design Review written by and published by . This book was released on 2003 with total page 44 pages. Available in PDF, EPUB and Kindle. Book excerpt: This report is the first milestone report for the actinic mask blank inspection project conducted at the VNL, which forms sub-section 3 of the Q1 2003 mask blank technology transfer program at the VNL. Specifically this report addresses deliverable 3.1.1--design review and preliminary tool design. The goal of this project is to design an actinic mask inspection tool capable of operating in two modes: high-speed scanning for the detection of multilayer defects (inspection mode), and a high-resolution aerial image mode in which the image emulates the imaging illumination conditions of a stepper system (aerial image or AIM mode). The purpose and objective of these two modes is as follows: (1) Defect inspection mode--This imaging mode is designed to scan large areas of the mask for defects EUV multilayer coatings. The goal is to detect the presence of multilayer defects on a mask blank and to store the co-ordinates for subsequent review in AIM mode, thus it is not essential that the illumination and imaging conditions match that of a production stepper. Potential uses for this imaging mode include: (a) Correlating the results obtained using actinic inspection with results obtained using other non-EUV defect inspection systems to verify that the non-EUV scanning systems are detecting all critical defects; (b) Gaining sufficient information to associate defects with particular processes, such as various stages of the multilayer deposition or different modes of operation of the deposition tool; and (c) Assessing the density and EUV impact of surface and multilayer anomalies. Because of the low defect density achieved using current multilayer coating technology it is necessary to be able to efficiently scan large areas of the mask in order to obtain sufficient statistics for use in cross-correlation experiments. Speed of operation as well as sensitivity is therefore key to operation in defect inspection mode. (2) Aerial Image Microscope (AIM) mode--In AIM mode the tool is configured so that the collected data emulates the aerial image of a stepper system, thereby enabling rapid evaluation of mask defects and patterning without the need for a resist exposure step. The main uses of the microscope in this mode would be: (a) Review of multilayer and pattern defects to determine their printability; (b) Defect review following a repair process to assess the success of the operation; (c) Investigation of the effects of illumination and NA on the printed image; (d) Process window analysis of defects and other mask features; and (e) Characterizing defects on both patterned and unpatterned masks (i.e. blanks). An essential characteristic of operation in this mode is that the illumination and imaging conditions through focus should emulate as accurately as possible that of a production stepper system. This mode is designed for local review of defects over a small sub-field of the mask; therefore it is not necessary to have the same high-speed throughput required for defect inspection mode. We first describe some technical background relating to EUV masks and defect scanning, with the aim of defining the context of the tool and experiments to be performed. We then present an overview of several candidate optical system configurations for achieve the above objectives, and analyze the ability of each system to achieve the stated project goals. Of key importance is throughput and sensitivity in inspection mode, whilst in AIM mode key points are spatial resolution and the ability to perform stepper emulation imaging. We then down-select the best candidate from this set of solutions to one system, which is then investigated in further detail with a view to producing a preliminary tool design and estimates of overall system performance.

Book Actinic Defect Counting Statistics Over 1 Cm2 Area of EUVL Mask Blank

Download or read book Actinic Defect Counting Statistics Over 1 Cm2 Area of EUVL Mask Blank written by and published by . This book was released on 2000 with total page 10 pages. Available in PDF, EPUB and Kindle. Book excerpt: As a continuation of comparison experiments between EUV inspection and visible inspection of defects on EUVL mask blanks, we report on the result of an experiment where the EUV defect inspection tool is used to perform at-wavelength defect counting over 1 cm2 of EUVL mask blank. Initial EUV inspection found five defects over the scanned area and the subsequent optical scattering inspection was able to detect all of the five defects. Therefore, if there are any defects that are only detectable by EUV inspection, the density is lower than the order of unity per cm2. An upgrade path to substantially increase the overall throughput of the EUV inspection system is also identified in the manuscript.

Book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images

Download or read book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.

Book Handbook of Photomask Manufacturing Technology

Download or read book Handbook of Photomask Manufacturing Technology written by Syed Rizvi and published by CRC Press. This book was released on 2018-10-03 with total page 728 pages. Available in PDF, EPUB and Kindle. Book excerpt: As the semiconductor industry attempts to increase the number of functions that will fit into the smallest space on a chip, it becomes increasingly important for new technologies to keep apace with these demands. Photomask technology is one of the key areas to achieving this goal. Although brief overviews of photomask technology exist in the literature, the Handbook of Photomask Manufacturing Technology is the first in-depth, comprehensive treatment of existing and emerging photomask technologies available. The Handbook of Photomask Manufacturing Technology features contributions from 40 internationally prominent authors from industry, academia, government, national labs, and consortia. These authors discuss conventional masks and their supporting technologies, as well as next-generation, non-optical technologies such as extreme ultraviolet, electron projection, ion projection, and x-ray lithography. The book begins with an overview of the history of photomask development. It then demonstrates the steps involved in designing, producing, testing, inspecting, and repairing photomasks, following the sequences observed in actual production. The text also includes sections on materials used as well as modeling and simulation. Continued refinements in the photomask-making process have ushered in the sub-wavelength era in nanolithography. This invaluable handbook synthesizes these refinements and provides the tools and possibilities necessary to reach the next generation of microfabrication technologies.

Book Method for Fabricating an Ultra low Expansion Mask Blank Having a Crystalline Silicon Layer

Download or read book Method for Fabricating an Ultra low Expansion Mask Blank Having a Crystalline Silicon Layer written by and published by . This book was released on 2002 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: A method for fabricating masks for extreme ultraviolet lithography (EUVL) using Ultra-Low Expansion (ULE) substrates and crystalline silicon. ULE substrates are required for the necessary thermal management in EUVL mask blanks, and defect detection and classification have been obtained using crystalline silicon substrate materials. Thus, this method provides the advantages for both the ULE substrate and the crystalline silicon in an Extreme Ultra-Violet (EUV) mask blank. The method is carried out by bonding a crystalline silicon wafer or member to a ULE wafer or substrate and thinning the silicon to produce a 5-10 .mu.m thick crystalline silicon layer on the surface of the ULE substrate. The thinning of the crystalline silicon may be carried out, for example, by chemical mechanical polishing and if necessary or desired, oxidizing the silicon followed by etching to the desired thickness of the silicon.

Book Inspection of Lithographic Mask Blanks for Defects

Download or read book Inspection of Lithographic Mask Blanks for Defects written by and published by . This book was released on 2001 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: A visible light method for detecting sub-100 nm size defects on mask blanks used for lithography. By using optical heterodyne techniques, detection of the scattered light can be significantly enhanced as compared to standard intensity detection methods. The invention is useful in the inspection of super-polished surfaces for isolated surface defects or particulate contamination and in the inspection of lithographic mask or reticle blanks for surface defects or bulk defects or for surface particulate contamination.

Book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach

Download or read book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach written by and published by . This book was released on 2015 with total page 13 pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.