EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book EUV Lithography Mask Blank Defect Inspection

Download or read book EUV Lithography Mask Blank Defect Inspection written by Yun Lin and published by . This book was released on 1998 with total page 38 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Actinic Inspection of Multilayer Defects on EUV Masks

Download or read book Actinic Inspection of Multilayer Defects on EUV Masks written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.

Book Key Challenges in EUV Mask Technology

Download or read book Key Challenges in EUV Mask Technology written by Yow-Gwo Wang and published by . This book was released on 2005 with total page 134 pages. Available in PDF, EPUB and Kindle. Book excerpt: This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.

Book A Study of Defects on EUV Mask Using Blank Inspection  Patterned Mask Inspection  and Wafer Inspection

Download or read book A Study of Defects on EUV Mask Using Blank Inspection Patterned Mask Inspection and Wafer Inspection written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. yet link data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63 cm2. Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.

Book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology

Download or read book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMA TECH's Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages.

Book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch

Download or read book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

Book Detectability and Printability of EUVL Mask Blank Defects for The32 Nm HP Node

Download or read book Detectability and Printability of EUVL Mask Blank Defects for The32 Nm HP Node written by and published by . This book was released on 2007 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all printable defects; therefore, understanding defect printability criteria is critical to this tool development. In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.

Book Automated Photomask Inspection

Download or read book Automated Photomask Inspection written by Donald B. Novotny and published by . This book was released on 1978 with total page 44 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements

Download or read book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements written by J. S. Taylor and published by . This book was released on 2006 with total page 16 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for extreme ultraviolet (EUV) lithography. Integral to this effort is the development and characterization of mask inspection tools that are sensitive enough to detect critical defects with high confidence. Using a single programmed-defect mask with a range of buried bump-type defects, we report a comparison of measurements made in four different mask-inspection tools: one commercial tool using 488-nm wavelength illumination, one prototype tool that uses 266-nm illumination, and two non-commercial EUV ''actinic'' inspection tools. The EUV tools include a darkfield imaging microscope and a scanning microscope. Our measurements show improving sensitivity with the shorter wavelength non-EUV tool, down to 33-nm spherical-equivalent-volume diameter, for defects of this type. Measurements conditions were unique to each tool, with the EUV tools operating at a much slower inspection rate. Several defects observed with EUV inspection were below the detection threshold of the non-EUV tools.

Book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images

Download or read book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.

Book Low defect Reflective Mask Blanks for Extreme Ultraviolet Lithography

Download or read book Low defect Reflective Mask Blanks for Extreme Ultraviolet Lithography written by and published by . This book was released on 1999 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA roadmap well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm2 @ 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm2 for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm2 in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10-2/cm2 level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling.

Book Understanding EUV Mask Blank Surface Roughness Induced LWR and Associated Roughness Requirement

Download or read book Understanding EUV Mask Blank Surface Roughness Induced LWR and Associated Roughness Requirement written by and published by . This book was released on 2015 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet lithography (EUVL) mask multi-layer (ML) blank surface roughness specification historically comes from blank defect inspection tool requirement. Later, new concerns on ML surface roughness induced wafer pattern line width roughness (LWR) arise. In this paper, we have studied wafer level pattern LWR as a function of EUVL mask surface roughness via High-NA Actinic Reticle Review Tool. We found that the blank surface roughness induced LWR at current blank roughness level is in the order of 0.5nm 3[sigma] for NA=0.42 at the best focus. At defocus of ±40nm, the corresponding LWR will be 0.2nm higher. Further reducing EUVL mask blank surface roughness will increase the blank cost with limited benefit in improving the pattern LWR, provided that the intrinsic resist LWR is in the order of 1nm and above.

Book Handbook of Photomask Manufacturing Technology

Download or read book Handbook of Photomask Manufacturing Technology written by Syed Rizvi and published by CRC Press. This book was released on 2018-10-03 with total page 728 pages. Available in PDF, EPUB and Kindle. Book excerpt: As the semiconductor industry attempts to increase the number of functions that will fit into the smallest space on a chip, it becomes increasingly important for new technologies to keep apace with these demands. Photomask technology is one of the key areas to achieving this goal. Although brief overviews of photomask technology exist in the literature, the Handbook of Photomask Manufacturing Technology is the first in-depth, comprehensive treatment of existing and emerging photomask technologies available. The Handbook of Photomask Manufacturing Technology features contributions from 40 internationally prominent authors from industry, academia, government, national labs, and consortia. These authors discuss conventional masks and their supporting technologies, as well as next-generation, non-optical technologies such as extreme ultraviolet, electron projection, ion projection, and x-ray lithography. The book begins with an overview of the history of photomask development. It then demonstrates the steps involved in designing, producing, testing, inspecting, and repairing photomasks, following the sequences observed in actual production. The text also includes sections on materials used as well as modeling and simulation. Continued refinements in the photomask-making process have ushered in the sub-wavelength era in nanolithography. This invaluable handbook synthesizes these refinements and provides the tools and possibilities necessary to reach the next generation of microfabrication technologies.

Book Printability and Inspectability of Defects on the EUV Mask for Sub32nm Half Pitch HVM Application

Download or read book Printability and Inspectability of Defects on the EUV Mask for Sub32nm Half Pitch HVM Application written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, a full field EUV mask is fabricated to see the printability of various defects on the mask. Programmed pit defect shows that minimum printable size of pits could be 17 nm of SEVD from the AIT. However 23.1nm in SEVD is printable from the EUV ADT. Defect printability and identification of its source along from blank fabrication to mask fabrication were studied using various inspection tools. Capture ratio of smallest printable defects was improved to 80% using optimized stack of metrical on wafer and state-of-art wafer inspection tool. Requirement of defect mitigation technology using fiducial mark are defined.

Book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach

Download or read book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach written by and published by . This book was released on 2015 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect's width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.

Book Microlithography

Download or read book Microlithography written by Bruce W. Smith and published by CRC Press. This book was released on 2018-10-03 with total page 864 pages. Available in PDF, EPUB and Kindle. Book excerpt: This new edition of the bestselling Microlithography: Science and Technology provides a balanced treatment of theoretical and operational considerations, from elementary concepts to advanced aspects of modern submicron microlithography. Each chapter reflects the current research and practices from the world's leading academic and industrial laboratories detailed by a stellar panel of international experts. New in the Second Edition In addition to updated information on existing material, this new edition features coverage of technologies developed over the last decade since the first edition appeared, including: Immersion Lithography 157nm Lithography Electron Projection Lithography (EPL) Extreme Ultraviolet (EUV) Lithography Imprint Lithography Photoresists for 193nm and Immersion Lithography Scatterometry Microlithography: Science and Technology, Second Edition authoritatively covers the physics, chemistry, optics, metrology tools and techniques, resist processing and materials, and fabrication methods involved in the latest generations of microlithography such as immersion lithography and extreme ultraviolet (EUV) lithography. It also looks ahead to the possible future systems and technologies that will bring the next generations to fruition. Loaded with illustrations, equations, tables, and time-saving references to the most current literature, this book is the most comprehensive and reliable source for anyone, from student to seasoned professional, looking to achieve robust, accurate, and cost-effective microlithography processes and systems.

Book EUV Lithography

    Book Details:
  • Author : Vivek Bakshi
  • Publisher : SPIE Press
  • Release : 2009
  • ISBN : 0819469645
  • Pages : 704 pages

Download or read book EUV Lithography written by Vivek Bakshi and published by SPIE Press. This book was released on 2009 with total page 704 pages. Available in PDF, EPUB and Kindle. Book excerpt: Editorial Review Dr. Bakshi has compiled a thorough, clear reference text covering the important fields of EUV lithography for high-volume manufacturing. This book has resulted from his many years of experience in EUVL development and from teaching this subject to future specialists. The book proceeds from an historical perspective of EUV lithography, through source technology, optics, projection system design, mask, resist, and patterning performance, to cost of ownership. Each section contains worked examples, a comprehensive review of challenges, and relevant citations for those who wish to further investigate the subject matter. Dr. Bakshi succeeds in presenting sometimes unfamiliar material in a very clear manner. This book is also valuable as a teaching tool. It has become an instant classic and far surpasses others in the EUVL field. --Dr. Akira Endo, Chief Development Manager, Gigaphoton Inc. Description Extreme ultraviolet lithography (EUVL) is the principal lithography technology aiming to manufacture computer chips beyond the current 193-nm-based optical lithography, and recent progress has been made on several fronts: EUV light sources, optics, optics metrology, contamination control, masks and mask handling, and resists. This comprehensive volume is comprised of contributions from the world's leading EUVL researchers and provides all of the critical information needed by practitioners and those wanting an introduction to the field. Interest in EUVL technology continues to increase, and this volume provides the foundation required for understanding and applying this exciting technology. About the editor of EUV Lithography Dr. Vivek Bakshi previously served as a senior member of the technical staff at SEMATECH; he is now president of EUV Litho, Inc., in Austin, Texas.