EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book A Dual Mode Actinic EUV Mask Inspection Tool

Download or read book A Dual Mode Actinic EUV Mask Inspection Tool written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: To qualify the performance of non-actinic inspection tools, a novel EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron facility at Lawrence Berkeley National Laboratory. Similar to the older generation actinic mask inspection tool, the new system can operate in scanning mode, when mask blanks are scanned for defects using 13.5-nm in-band radiation to identify and map all locations on the mask that scatter a significant amount of EUV light. By modifying and optimizing beamline optics (11.3.2 at ALS) and replacing K-B focusing mirrors with a high quality Schwarzschild illuminator, the new system achieves an order of magnitude improvement on in-band EUV flux density at the mask, enabling faster scanning speed and higher sensitivity to smaller defects. Moreover, the system can also operate in imaging mode, when it becomes a zone-plate-based full-field EUV microscope with spatial resolution better than 100 nm. The microscope utilizes an off-axis setup, making it possible to obtain bright field images over a field-of-view of 5 x 5 [micro]m.

Book Actinic Inspection of Multilayer Defects on EUV Masks

Download or read book Actinic Inspection of Multilayer Defects on EUV Masks written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.

Book Key Challenges in EUV Mask Technology

Download or read book Key Challenges in EUV Mask Technology written by Yow-Gwo Wang and published by . This book was released on 2005 with total page 134 pages. Available in PDF, EPUB and Kindle. Book excerpt: This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.

Book Actinic Mask Inspection at the ALS Initial Design Review

Download or read book Actinic Mask Inspection at the ALS Initial Design Review written by and published by . This book was released on 2003 with total page 44 pages. Available in PDF, EPUB and Kindle. Book excerpt: This report is the first milestone report for the actinic mask blank inspection project conducted at the VNL, which forms sub-section 3 of the Q1 2003 mask blank technology transfer program at the VNL. Specifically this report addresses deliverable 3.1.1--design review and preliminary tool design. The goal of this project is to design an actinic mask inspection tool capable of operating in two modes: high-speed scanning for the detection of multilayer defects (inspection mode), and a high-resolution aerial image mode in which the image emulates the imaging illumination conditions of a stepper system (aerial image or AIM mode). The purpose and objective of these two modes is as follows: (1) Defect inspection mode--This imaging mode is designed to scan large areas of the mask for defects EUV multilayer coatings. The goal is to detect the presence of multilayer defects on a mask blank and to store the co-ordinates for subsequent review in AIM mode, thus it is not essential that the illumination and imaging conditions match that of a production stepper. Potential uses for this imaging mode include: (a) Correlating the results obtained using actinic inspection with results obtained using other non-EUV defect inspection systems to verify that the non-EUV scanning systems are detecting all critical defects; (b) Gaining sufficient information to associate defects with particular processes, such as various stages of the multilayer deposition or different modes of operation of the deposition tool; and (c) Assessing the density and EUV impact of surface and multilayer anomalies. Because of the low defect density achieved using current multilayer coating technology it is necessary to be able to efficiently scan large areas of the mask in order to obtain sufficient statistics for use in cross-correlation experiments. Speed of operation as well as sensitivity is therefore key to operation in defect inspection mode. (2) Aerial Image Microscope (AIM) mode--In AIM mode the tool is configured so that the collected data emulates the aerial image of a stepper system, thereby enabling rapid evaluation of mask defects and patterning without the need for a resist exposure step. The main uses of the microscope in this mode would be: (a) Review of multilayer and pattern defects to determine their printability; (b) Defect review following a repair process to assess the success of the operation; (c) Investigation of the effects of illumination and NA on the printed image; (d) Process window analysis of defects and other mask features; and (e) Characterizing defects on both patterned and unpatterned masks (i.e. blanks). An essential characteristic of operation in this mode is that the illumination and imaging conditions through focus should emulate as accurately as possible that of a production stepper system. This mode is designed for local review of defects over a small sub-field of the mask; therefore it is not necessary to have the same high-speed throughput required for defect inspection mode. We first describe some technical background relating to EUV masks and defect scanning, with the aim of defining the context of the tool and experiments to be performed. We then present an overview of several candidate optical system configurations for achieve the above objectives, and analyze the ability of each system to achieve the stated project goals. Of key importance is throughput and sensitivity in inspection mode, whilst in AIM mode key points are spatial resolution and the ability to perform stepper emulation imaging. We then down-select the best candidate from this set of solutions to one system, which is then investigated in further detail with a view to producing a preliminary tool design and estimates of overall system performance.

Book Multilayer Defects Nucleated by Substrate Pits

Download or read book Multilayer Defects Nucleated by Substrate Pits written by J. S. Taylor and published by . This book was released on 2006 with total page 10 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects while simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) in inspection tool. Presently, the occurrence inspection of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern. We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.

Book Performance of Actinic EUVL Mask Imaging Using a Zoneplatemicroscope

Download or read book Performance of Actinic EUVL Mask Imaging Using a Zoneplatemicroscope written by and published by . This book was released on 2007 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research. Dramatic improvements in image quality have been made by the replacement of several critical optical elements, and the introduction of scanning illumination to improve uniformity and contrast. We report high quality actinic EUV mask imaging with resolutions as low as 100-nm half-pitch, (20-nm, 5x wafer equivalent size), and an assessment of the imaging performance based on several metrics. Modulation transfer function (MTF) measurements show high contrast imaging for features sizes close to the diffraction-limit. An investigation of the illumination coherence shows that AIT imaging is much more coherent than previously anticipated, with [sigma] below 0.2. Flare measurements with several line-widths show a flare contribution on the order of 2-3% relative intensity in dark regions above the 1.3% absorber reflectivity on the test mask used for these experiments. Astigmatism coupled with focal plane tilt are the dominant aberrations we have observed. The AIT routinely records 250-350 high-quality images in numerous through-focus series per 8-hour shift. Typical exposure times range from 0.5 seconds during alignment, to approximately 20 seconds for high-resolution images.

Book Performance of Actinic EUVL Mask Imaging Using a Zoneplate Microscope

Download or read book Performance of Actinic EUVL Mask Imaging Using a Zoneplate Microscope written by and published by . This book was released on 2007 with total page 14 pages. Available in PDF, EPUB and Kindle. Book excerpt: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research. Dramatic improvements in image quality have been made by the replacement of several critical optical elements, and the introduction of scanning illumination to improve uniformity and contrast. We report high quality actinic EUV mask imaging with resolutions as low as 100-nm half-pitch, (20-nm, 5x wafer equivalent size), and an assessment of the imaging performance based on several metrics. Modulation transfer function (MTF) measurements show high contrast imaging for features sizes close to the diffraction-limit. An investigation of the illumination coherence shows that AIT imaging is much more coherent than previously anticipated, with [sigma] below 0.2. Flare measurements with several line-widths show a flare contribution on the order of 2-3% relative intensity in dark regions above the 1.3% absorber reflectivity on the test mask used for these experiments. Astigmatism coupled with focal plane tilt are the dominant aberrations we have observed. The AIT routinely records 250-350 high-quality images in numerous through-focus series per 8-hour shift. Typical exposure times range from 0.5 seconds during alignment, to approximately 20 seconds for high-resolution images.

Book Microlithography

Download or read book Microlithography written by Bruce W. Smith and published by CRC Press. This book was released on 2020-05-01 with total page 770 pages. Available in PDF, EPUB and Kindle. Book excerpt: The completely revised Third Edition to the bestselling Microlithography: Science and Technology provides a balanced treatment of theoretical and operational considerations, from fundamental principles to advanced topics of nanoscale lithography. The book is divided into chapters covering all important aspects related to the imaging, materials, and processes that have been necessary to drive semiconductor lithography toward nanometer-scale generations. Renowned experts from the world’s leading academic and industrial organizations have provided in-depth coverage of the technologies involved in optical, deep-ultraviolet (DUV), immersion, multiple patterning, extreme ultraviolet (EUV), maskless, nanoimprint, and directed self-assembly lithography, together with comprehensive descriptions of the advanced materials and processes involved. New in the Third Edition In addition to the full revision of existing chapters, this new Third Edition features coverage of the technologies that have emerged over the past several years, including multiple patterning lithography, design for manufacturing, design process technology co-optimization, maskless lithography, and directed self-assembly. New advances in lithography modeling are covered as well as fully updated information detailing the new technologies, systems, materials, and processes for optical UV, DUV, immersion, and EUV lithography. The Third Edition of Microlithography: Science and Technology authoritatively covers the science and engineering involved in the latest generations of microlithography and looks ahead to the future systems and technologies that will bring the next generations to fruition. Loaded with illustrations, equations, tables, and time-saving references to the most current technology, this book is the most comprehensive and reliable source for anyone, from student to seasoned professional, looking to better understand the complex world of microlithography science and technology.

Book EUV Lithography

    Book Details:
  • Author : Vivek Bakshi
  • Publisher : SPIE Press
  • Release : 2009
  • ISBN : 0819469645
  • Pages : 704 pages

Download or read book EUV Lithography written by Vivek Bakshi and published by SPIE Press. This book was released on 2009 with total page 704 pages. Available in PDF, EPUB and Kindle. Book excerpt: Editorial Review Dr. Bakshi has compiled a thorough, clear reference text covering the important fields of EUV lithography for high-volume manufacturing. This book has resulted from his many years of experience in EUVL development and from teaching this subject to future specialists. The book proceeds from an historical perspective of EUV lithography, through source technology, optics, projection system design, mask, resist, and patterning performance, to cost of ownership. Each section contains worked examples, a comprehensive review of challenges, and relevant citations for those who wish to further investigate the subject matter. Dr. Bakshi succeeds in presenting sometimes unfamiliar material in a very clear manner. This book is also valuable as a teaching tool. It has become an instant classic and far surpasses others in the EUVL field. --Dr. Akira Endo, Chief Development Manager, Gigaphoton Inc. Description Extreme ultraviolet lithography (EUVL) is the principal lithography technology aiming to manufacture computer chips beyond the current 193-nm-based optical lithography, and recent progress has been made on several fronts: EUV light sources, optics, optics metrology, contamination control, masks and mask handling, and resists. This comprehensive volume is comprised of contributions from the world's leading EUVL researchers and provides all of the critical information needed by practitioners and those wanting an introduction to the field. Interest in EUVL technology continues to increase, and this volume provides the foundation required for understanding and applying this exciting technology. About the editor of EUV Lithography Dr. Vivek Bakshi previously served as a senior member of the technical staff at SEMATECH; he is now president of EUV Litho, Inc., in Austin, Texas.

Book Actinic EUV Mask Inspection Beyond 0 25 NA

Download or read book Actinic EUV Mask Inspection Beyond 0 25 NA written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4x EUV stepper. Illumination uniformity is above 90% for mask areas 2-[mu]m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured [sigma] values of approximately 0.125 at 0.0875 NA.

Book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements

Download or read book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements written by J. S. Taylor and published by . This book was released on 2006 with total page 16 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for extreme ultraviolet (EUV) lithography. Integral to this effort is the development and characterization of mask inspection tools that are sensitive enough to detect critical defects with high confidence. Using a single programmed-defect mask with a range of buried bump-type defects, we report a comparison of measurements made in four different mask-inspection tools: one commercial tool using 488-nm wavelength illumination, one prototype tool that uses 266-nm illumination, and two non-commercial EUV ''actinic'' inspection tools. The EUV tools include a darkfield imaging microscope and a scanning microscope. Our measurements show improving sensitivity with the shorter wavelength non-EUV tool, down to 33-nm spherical-equivalent-volume diameter, for defects of this type. Measurements conditions were unique to each tool, with the EUV tools operating at a much slower inspection rate. Several defects observed with EUV inspection were below the detection threshold of the non-EUV tools.

Book Materials and Processes for Next Generation Lithography

Download or read book Materials and Processes for Next Generation Lithography written by and published by Elsevier. This book was released on 2016-11-08 with total page 636 pages. Available in PDF, EPUB and Kindle. Book excerpt: As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication. - Assembles up-to-date information from the world's premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation - Includes information on processing and metrology techniques - Brings together multiple approaches to litho pattern recording from academia and industry in one place

Book Wavelength specific Reflections

Download or read book Wavelength specific Reflections written by and published by . This book was released on 2010 with total page 27 pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask inspection is essential for the success of any pattern-transfer lithography technology, and EUV Lithography in particular faces unique challenges. EUV masks resonant-reflective multilayer coatings have a narrow, wavelength-specific response that dramatically affects the way that defects appear, or disappear, at various illuminating wavelengths. Furthermore, the ever-shrinking size of 'critical' defects limits the potential effectiveness of DUV inspection techniques over time. Researchers pursuing numerous ways of finding and characterizing defects on EUV masks and have met with varying degrees of success. Their lessons inform the current, urgent exploration to select the most effective techniques for high-volume manufacturing. Ranging from basic research and demonstration experiments to commercial inspection tool prototypes, we survey the recent history of work in this area, including sixteen projects in Europe, Asia, and America. Solutions range from scanning beams to microscopy, dark field imaging to pattern transfer.

Book Emerging Lithographic Technologies

Download or read book Emerging Lithographic Technologies written by and published by . This book was released on 2007 with total page 540 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Design and Evaluation of System Configurations for an EUV Mask Inspection Microscope

Download or read book Design and Evaluation of System Configurations for an EUV Mask Inspection Microscope written by and published by . This book was released on 2002 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: This document is the third sub-report of the EUV AIM design study being conducted at LLNL on behalf of International Sematech (ISMT). The purpose of this study as identified in section 1.2 of the statement of work is to research the basic user requirements of an actinic defect characterization tool, potential design configurations and top-level specifications. The objectives of this design study specifically identified in section 1.3 of the statement of work were to: (1) Determine the user requirements of an actinic defect characterization tool; (2) Determine if an EUV AIM tool is an appropriate platform for actinic defect characterization; (3) Determine possible design configurations and top-level performance specifications; (4) Identify potential technical issues and risks of different technical approaches; (5) Provide estimates of cost relating to different technical approaches; and (6) Provide simulated performance for key subsystems and the entire system. The sub-sections of the study to be addressed were accordingly defined in the statement of work as being: (1) Formulation of top-level specifications; (2) Identification of system configurations suitable for meeting the top-level specifications; (3) Preliminary design of imaging systems; (4) Preliminary design of illumination systems; (5) Prediction and comparison of performance through aerial image calculation; (6) Identification of sub-system requirements; (7) Identification of potential vendors; (8) Estimation of system cost; (9) Identification of technical issues; and (10) Definition of technology transfer or development required. Points 1 and 2 have already been addressed in previous reports to ISMT. This document addresses points 3 to 7, and 9 to 10 of the above list--formulation of a preliminary design of the imaging and illumination systems and the evaluation and comparison of potential designs through aerial image analysis. As such this report should be read in conjunction with and in the context of the previous two reports which separately addressed points 1 and 2 above. Item 8, estimation of system cost, will be addressed in a separate confidential report to ISMT. A number of potential configurations for an EUV AIM tool have been studied and technical aspects of the design approaches investigated. The requirements for AIM mode of emulating stepper quality imaging have been spelt out and strategies developed for assessing the suitability of different approaches to satisfying the technical requirements for AIM mode. A synopsis of the approaches considered, and conclusions reached, is presented in Table 17 below. Preliminary designs of several system configurations have been performed, and for each approach potential technical risks have been identified; illumination and source requirements have been analyzed for each implementation and may factor into selection of technical approach. It is important to note that identification of risk or required development does not disqualify a particular approach, rather it serves to raise an issue which must be addressed in any proposal to build a tool.

Book Benchmarking EUV Mask Inspection Beyond 0 25 NA

Download or read book Benchmarking EUV Mask Inspection Beyond 0 25 NA written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-[mu]m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured [sigma] values of approximately 0.125 at 0.0875 NA.

Book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch

Download or read book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.