EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book The Design  Synthesis  and Evaluation of Novel Dendritic Polymers as Resist Materials for Next Generation Lithography

Download or read book The Design Synthesis and Evaluation of Novel Dendritic Polymers as Resist Materials for Next Generation Lithography written by David Charles Tully and published by . This book was released on 2001 with total page 268 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Materials and Processes for Next Generation Lithography

Download or read book Materials and Processes for Next Generation Lithography written by and published by Elsevier. This book was released on 2016-11-08 with total page 636 pages. Available in PDF, EPUB and Kindle. Book excerpt: As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication. Assembles up-to-date information from the world’s premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation Includes information on processing and metrology techniques Brings together multiple approaches to litho pattern recording from academia and industry in one place

Book Dissertation Abstracts International

Download or read book Dissertation Abstracts International written by and published by . This book was released on 2005 with total page 780 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Catalysis and Materials Development for Photolithography

Download or read book Catalysis and Materials Development for Photolithography written by Ryan Alan Mesch and published by . This book was released on 2014 with total page 616 pages. Available in PDF, EPUB and Kindle. Book excerpt: In recent years the microelectronics industry as found itself at an impasse. The tradition pathway towards smaller transistors at lower costs has hit a roadblock with the failure of 157 nm lithography and the continued delays in 13.5 nm extreme ultra violet light sources. While photolithography has been able to keep pace with Moore's law over the past four decades, alternative patterning technologies are now required to keep up with market demand. The first section of this dissertation discusses the new resolution enhancement technique develop in the Willson lab termed pitchdivision. Through the incorporation of specifically tailored photobase generators (PBGs) into commercially available resists, the resolution of current 193 tools may be doubled. Special two-stage PBGs were designed and synthesized to increase the image fidelity of pitchdivision patterns. The next project deals with the design, synthesis, and evaluation of resists that find amplification through unzipping polymers. An aromatizing polyester polymer that acts as dissolution inhibitor in novolac and is inherently sensitive to 13.5 nm exposure is discussed. Initial results show excellent sensitivity and promise towards a new class of EUV resists.

Book American Doctoral Dissertations

Download or read book American Doctoral Dissertations written by and published by . This book was released on 2001 with total page 776 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Design  Synthesis  and Application of Lithographic Resists and Nonlinear Optical Materials

Download or read book Design Synthesis and Application of Lithographic Resists and Nonlinear Optical Materials written by Brian Keith Long and published by . This book was released on 2009 with total page 500 pages. Available in PDF, EPUB and Kindle. Book excerpt: Fluorinated norbornene monomers exhibit the requisite properties for inclusion in 157 nm photoresists, but traditional addition and radical polymerizations with these monomers have failed. Norbornanediols provide an alternate route to these materials via condensation polymerization, and methods have been developed for the efficient synthesis of the exo-2-syn-7- and endo-2-exo-3-dihydroxynorbornanes. Synthesis of the fluorinated analogues is complicated by steric and electronic effects; however, a high-yielding synthesis of endo-2-exo-3-dihydroxynorbornane bearing a 5-endo-[2,2-bis(trifluoromethyl)hydroxyethyl] substituent as well as its corresponding polymer are reported. As an alternative to 157 nm and other optical lithographies, Step and Flash Imprint Lithography, or S-FIL®, was introduced in 1999 by The University of Texas at Austin. It has proven to be a cost effective, high resolution alternative to traditional optical lithography. Often in the S-FIL process, residual resist may become imbedded within the template features resulting in device defects due to the imprint and repeat nature of S-FIL. The high silicon and cross-linking content of the resist formulations are extremely difficult, if not impossible to remove from quartz imprint mold without template degradation. Our approach to this problem was the synthesis of a family of thermally reversible, cross-linkable monomers that will facilitate resist removal while maintaining template integrity. Our monomers utilize classic Diels-Alder chemistry to provide thermal reversibility, while pendant acrylate functionalities facilitate cross-linking. Herein we report the synthesis of several Diels-Alder compounds, incorporate them into resist formulations, and test their efficacy for resist removal. In an effort to develop unique patternable materials, our laboratory is currently engaged in the design and development of photonic crystals comprised of organic elements with highly stable electro-optic activity. Fabrication of these devices requires polymers that can be patterned at high resolution, have large second order nonlinear optical (NLO) coefficients, and that are thermally stable after poling. Our route to these materials involves the synthesis of a prepolymer that can be spin coated, poled, and then fixed by a photochemical cross-linking reaction. We now describe an efficient synthetic route to a new class of biscross-linkable monomers and the characteristics of their corresponding nonlinear optical polymers.

Book Patternable Materials for Next generation Lithography

Download or read book Patternable Materials for Next generation Lithography written by Austin Patrick Lane and published by . This book was released on 2017 with total page 462 pages. Available in PDF, EPUB and Kindle. Book excerpt: One of the salient truths facing the microelectronics industry today is that photolithography tools are unable to meet the resolution requirements for manufacturing next-generation devices. In the past, circuit feature sizes have been minimized by reducing the exposure wavelength used for patterning. However, this strategy failed with the worldwide dereliction of 157 nm lithography in 2003. Extreme ultraviolet (EUV) lithography still faces many technical challenges and is not ready for high volume manufacturing. How will the microelectronics industry continue to innovate without regular advances in photopatterning technology? Regardless of which paradigm is adopted, new materials will probably be required to meet the specific challenges of scaling down feature sizes and satisfying the economic ultimatum of Moore’s Law. In the search for higher resolution patterning tools, device manufacturers have identified block copolymer (BCP) lithography as a possible technique for next-generation nanofabrication. BCP self-assembly offers access to sub-5 nm features in thin films, well beyond the resolution limits of photolithography. However, BCP materials must be carefully designed, synthesized, and processed to create lithographically interesting features with good etch resistance for pattern transfer. In this dissertation, we describe a pattern transfer process for 5 nm BCP lamellae and a directed self-assembly (DSA) process for aligning 5 nm structures in thin films. To achieve defect-free alignment, the interfacial interactions between the BCP and pre-patterned substrate must be precisely controlled. We also discuss a new process for selectively modifying oxidized chromium films using polymer brushes, which could further improve the aforesaid DSA process. To facilitate better pattern transfer of BCP structures, several new BCPs with “self-developing” blocks were synthesized and tested. These materials depolymerize and evaporate in strongly acidic environments, leading to developed BCP features without the need for etching or solvent. “Self-developing” polymers may also be useful materials for traditional photolithography. Chemically amplified resists used in manufacturing today are fundamentally limited by a trade-off between sensitivity and pattern quality. To overcome this problem, we present a new type of photoresist that relies on depolymerization, rather than catalysis, to achieve amplification without producing significant roughness or bias in the final pattern

Book Small Molecule Photoresist Materials for Next Generation Lithography

Download or read book Small Molecule Photoresist Materials for Next Generation Lithography written by Marie Elyse Krysak and published by . This book was released on 2013 with total page 414 pages. Available in PDF, EPUB and Kindle. Book excerpt: Photolithography remains the most efficient method to create semiconductor devices. Moore's law states that the number of transistors per integrated circuit will double every four years. In order to successfully continue this trend of miniaturizing feature sizes, new, smaller sized patterning materials must be studied. Small molecule photoresists are being developed for high resolution patterning. Low molecular weight amorphous materials, or molecular glasses (MGs), have emerged as alternatives to polymeric resist materials. They combine the benefits of small molecular size with the favorable aspects of polymers, such as a high glass transition temperature (Tg) and the ability to form thin films. Inorganic-based nanoparticles are currently being explored as next generation photoresists. These materials are similar in architecture to MGs, but are comprised of an inorganic core that provides excellent thermal stability and resistance to plasma etching. This research focuses on the synthesis and characterization both MG and nanoparticle resist materials for high resolution patterning. The materials studied are designed for use with Extreme Ultraviolet Lithography (EUV-L), using a wavelength of 13.5 nm. This next-generation technique is believed to be the key to extending patterning capabilities to sub 30 nm and beyond. Small molecule resists materials have been specifically designed for use with alternative lithographic processing techniques. Small, rigid structures were designed for vapor deposition, which has been examined as an alternative to spin-coating. This process has been shown to deposit a uniform film, free from defects and impurities, without the use of solvent. Sub-millisecond laser heating is a relatively new technique that is studied as an alternative the post exposure bake. This method has shown the ability to reduce line edge roughness while simultaneously improving resist sensitivity. Systematically designed MG photoacid generators have been used to characterize the acid diffusion behavior during laser heating as compared to traditional hotplate heating. The development of resist materials for these new processes is a critical step in the preparation of these processes for widespread use in lithographic processing. ii.

Book Novel Resist Materials for Next Generation Lithography

Download or read book Novel Resist Materials for Next Generation Lithography written by Jedsada Manyam and published by . This book was released on 2011 with total page 136 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Molecular Resists for Advanced Lithography   Design  Synthesis  Characterization  and Simulation

Download or read book Molecular Resists for Advanced Lithography Design Synthesis Characterization and Simulation written by Richard A. Lawson and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Many problems exist in current photoresist designs that will limit their ability to obtain the performance required for future generations of integrated circuit devices. In order to overcome these challenges, novel resist designs are required, along with advancement in the fundamental understanding of the source of these problems. A mesoscale kinetic Monte Carlo simulation of resists was developed to probe the effects of changes in resist formulation and processing. A detailed SEM simulator was developed in order to better understand the effect of metrology on the characterization of the final resist relief image. Several important structure-property relations were developed for the prediction of glass transition temperature in molecular resists and the prediction of the solubility of molecular resists in developer. Five new families of molecular resists were developed that provide solutions to some of the limitations in current resist designs. Single component molecular resists have all of the functional groups required to act as a chemically amplified resist contained in a single molecule. This eliminates inhomogeneities in the resist and provides improved line edge roughness. Non-chemically amplified molecular resists were developed that have very good sensitivity due to the unique dissolution properties of molecular resists. Negative tone molecular resists were developed that have an excellent combination of resolution, sensitivity, and line edge roughness with better resolution than has been previously seen in negative tone resists. Control methods were also developed to improve the resolution of these types of negative tone resists even further.

Book Development and Advanced Characterization of Novel Chemically Amplified Resists for Next Generation Lithography

Download or read book Development and Advanced Characterization of Novel Chemically Amplified Resists for Next Generation Lithography written by Cheng-Tsung Lee and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The microelectronics industry has made remarkable progress with the development of integrated circuit (IC) technology which depends on the advance of micro-fabrication and integration techniques. On one hand, next-generation lithography (NGL) technologies which utilize extreme ultraviolet (EUV) and the state-of-art 193 nm immmersion and double patterning lithography have emerged as the promising candidates to meet the resolution requirements of the microelectronic industry roadmap. On the other hand, the development and advanced characterization of novel resist materials with the required critical imaging properties, such as high resolution, high sensitivity, and low line edge roughness (LER), is also indispensable. In conventional multi-component chemically amplified resist (CAR) system, the inherent incompatibility between small molecule photoacid generator (PAG) and the bulky polymer resin can lead to PAG phase separation, PAG aggregation, non-uniform PAG and acid distribution, as well as uncontrolled acid migration during the post-exposure baking (PEB) processes in the resist film. These problems ultimately create the tri-lateral tradeoff between achieving the desired lithography characteristics. Novel resist materials which can relief this constraint are essential and have become one of the most challenging issues for the implementation NGL technologies. This thesis work focuses on the development and characterization of novel resist materials for NGL technologies. In the first part of the thesis work, advanced characterization techniques for studying resist fundamental properties and lithographic performance are developed and demonstrated. These techniques provide efficient and precise evaluations of PAG acid generation, acid diffusivity, and intrinsic resolution and LER of resist materials. The applicability of these techniques to the study of resist structure-function relationships are also evaluated and discussed. In the second part of the thesis work, the advanced characterization and development of a novel resist system, the polymer-bound-PAG resists, are reported. The advantages of direct incorporation of PAG functionality into the resist polymer main chain are investigated and illustrated through both experimental and modeling studies. The structure-function relationships between the fundamental properties of polymer-bound-PAG resists and their lithographic performance are also investigated. Recommendations on substantial future works for characterizing and improving resist lithographic performance are discussed at the end of this thesis work.

Book Advanced Resist Materials for Next Generation Lithography

Download or read book Advanced Resist Materials for Next Generation Lithography written by Carmen-Mariana Popescu and published by . This book was released on 2019 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Organic Inorganic Photoresist and Laser Induced Heating Process for Next Generation Lithography

Download or read book Organic Inorganic Photoresist and Laser Induced Heating Process for Next Generation Lithography written by Jing Jiang and published by . This book was released on 2015 with total page 344 pages. Available in PDF, EPUB and Kindle. Book excerpt: What technology will enable lithography to continue Moore's law beyond 10 nm node? Traditional photolithography, using a 193 nm wavelength and chemically amplified resist (CAR), is currently the workhorse in the semiconductor industry, but faces challenge of achieving required resolution and line width roughness (LWR). Extreme Ultraviolet Lithography (EUVL), using 13.5 nm light, is considered as the likely successor to 193 nm immersion lithography, but has been delayed for years due to both light source and resist materials challenges. Directed self-assembly (DSA) of block copolymers, as a bottom-up approach, has the potential for high resolution, but its process integration is completely different from conventional top-down lithography. All of these different techniques coexist as competing solutions, but also facing challenges at the same time. So how can we enable these technologies for the next generation lithography? This dissertation explores the materials used in these three main categories of lithography technologies (CAR, EUVL and DSA), providing unconventional approaches to address this question. Ultrafast and high temperature laser induced heating is utilized as a post exposure bake (PEB) method for chemically amplified photoresists. By studying the reaction and diffusion kinetics of photoresist systems during laser PEB, we have been able to correlate the apparent activation energies with pattern LWR for 193 nm photoresists. We found that the system with highest deprotection activation energy and lowest diffusion activation energy achieved 60% LWR reduction using laser PEB compared to conventional hotplate annealing. Laser annealing is also utilized for directed self-assembly of block copolymers. Polymer chain mobility is greatly increased by increasing temperature, allowing ordering within 5-20 ms before polymer decomposition can occur. Effects of laser power, dwell time, underlayer and graphoepitaxy were examined with long range order and alignment was achieved with 20 ms laser annealing. Ligand-stabilized metal oxide nanoparticles resist have shown extraordinary sensitivity for EUV lithography (4.2 mJ/cm2for the 22 nm features). This study suggests that ligands can be directly cleaved by UV radiation, which is accelerated in the presence of a photoacid generator (PAG). This implies that the ligand structures is important to resist performance. By systematically synthesizing and characterizing of nanoparticles with different ligands, we correlated the lithographic performance with ligand structures, offering the potential for future rational resist design.

Book Advanced Materials for Next Generation Lithography

Download or read book Advanced Materials for Next Generation Lithography written by Evan Lawrence Schwartz and published by . This book was released on 2011 with total page 264 pages. Available in PDF, EPUB and Kindle. Book excerpt: The constant demand for increased circuit density and higher resolution patterning calls for simultaneous advancements in materials chemistry. A variety of possible approaches for next-generation lithography are explored, centering on the use of directly patternable self-assembling block copolymers, along with hafnium oxidebased nanoparticle photoresists. In one example of the first approach, a random copolymer brush layer of poly(styrene-ran-hydroxystyrene) was designed and synthesized to precisely tune the substrate/polymer surface energy for a lithographically patternable poly([alpha]methylstyrene-block-4-hydroxystyrene) (P[alpha]MS-b-PHOST) block copolymer. The surface was designed to avoid preferential wetting of either P[alpha]MS or PHOST domains to the substrate and orient the block copolymer domains vertically relative to the substrate. To neutralize the polymer/ vapor interface during solvent vapor processing, the film was exposed to a mixed solvent vapor of a defined polarity, creating vertical microdomains with long-range order. In the latter approach, hafnium oxide nanoparticles were covalently coated with a photo-reactive ligand, which allowed neighboring nanoparticles to form a crosslinked network upon exposure to ultraviolet light. The basic science of this new class of resist material is discussed. These negative-tone resists have so far demonstrated sub-50 nm resolution using 193nm interference lithography, and plasma etch resistance over thirteen times greater than PHOST under standard silicon etching conditions. In a combination of the two approaches, the co-assembly of the inorganic nanoparticles with the PHOST phase of P[alpha]MS-b-PHOST is shown. TEM and SAXS studies indicated the expansion of the microdomain periodicity upon nanoparticle incorporation. These block copolymer nanocomposite films offer enhanced functionality and a larger process window for subsequent pattern transfer into semiconductor substrates. In another example of co-assembly, phenolic molecular glass photoresists were blended with low molecular weight, triblock copolymer surfactants based on poly(ethylene oxide)(PEO). The miscibility of these blend components is shown to be a result of preferential hydrogen bonding between the hydroxyl groups attached to the molecular glass and the alkyl ether group of the PEO block, as shown by FTIR and DSC analysis. The blending resulted in an enhancement in segregation strength that led to the formation of sub-10nm self-assembled morphologies, as verified by SAXS. Options for the lithographic patterning of these blends are explored. Lastly, a combined additive and subtractive patterning technique is demonstrated that allows the deposition of multiple block copolymer films, of different domain sizes and pitches, on the same layer of the substrate. The approach used a semifluorinated negative-tone photoresist which is designed to resist intermixing when spin coated on top of a block copolymer film.

Book Design  Synthesis and Testing of Materials for 157 Nm Photolithography

Download or read book Design Synthesis and Testing of Materials for 157 Nm Photolithography written by Charles Ray Chambers and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Solvent based Development of Photoresists for Next generation Lithography

Download or read book Solvent based Development of Photoresists for Next generation Lithography written by Christine Y. Ouyang and published by . This book was released on 2013 with total page 334 pages. Available in PDF, EPUB and Kindle. Book excerpt: As feature sizes continue to shrink, the need for new materials and new processes for next-generation lithography becomes more urgent. Although aqueous base development has been the industry standard for over twenty years, there are still several issues that need to be overcome. First, the high surface tension of aqueous base developers can lead to pattern collapse of high aspect ratio patterns and limit resolution. The toxicity of aqueous base developers has also raised concerns about the environment. In order to reduce the problems related to aqueous development, solvents or materials with desirable properties must be used. Recently, there has also been growing interest in solvent-based negative-tone development (NTD) due to its better performance in printing certain feature types. Therefore, solvent-based development of photoresists was investigated in this study. One approach to reduce the pattern collapse problem and environmental issues of the lithographic process is through the use of environmentally friendly solvents with low surface tension. Supercritical carbon dioxide (scCO2) and linear methyl siloxanes (LMS) are green solvents that have low toxicity, low surface tension, low viscosity and can be recycled. Solvent-based development of both polymeric and molecular glass resists with positive- and negative-tone images have been successfully demonstrated in both solvents. High-resolution and high aspect ratio patterns were obtained with no pattern collapse observed using both solvents. As there is little iii understanding about the solvent power of linear methyl siloxanes, the dissolution behavior of polymers and molecular glasses in linear methyl siloxanes was also studied. Besides using low surface tension developers to mitigate pattern collapse problem, another approach is by using materials with high etch resistance that eliminates the use of thick films. Also, because of the low intensity of current EUV light source, the next-generation resists need to demonstrate high sensitivity and optimum absorbance. Inorganic metal oxide nanoparticles based on zirconium oxide (ZrO2) and hafnium oxide (HfO2) with organic ligands have been synthesized for EUV lithography. These nanoparticle resists can be developed as negative-tone patterns using an organic solvent and high-resolution patterns were achieved. The patterning performance of these nanoparticles in different organic solvents was also evaluated. iv.

Book Polymers for Microelectronics and Nanoelectronics

Download or read book Polymers for Microelectronics and Nanoelectronics written by Qinghuang Lin and published by . This book was released on 2004 with total page 360 pages. Available in PDF, EPUB and Kindle. Book excerpt: Discusses patterning, insulating, and packaging polymeric materials for the $150-billion microelectronics industry as well as the rapidly emerging nanoelectronics and organic electronics industries. Chapters discuss patterning, insulating, and packaging polymeric materials as well as organic materials for nanoelectronics, organic electronics, and optoelectronics. This book covers the synthesis, characterization, structure-property relationship, performance, and applications of these materials.