EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Printability and Inspectability of Programmed Pit Defects on Teh Masks in EUV Lithography

Download or read book Printability and Inspectability of Programmed Pit Defects on Teh Masks in EUV Lithography written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Printability and inspectability of phase defects in ELlVL mask originated from substrate pit were investigated. For this purpose, PDMs with programmed pits on substrate were fabricated using different ML sources from several suppliers. Simulations with 32-nm HP L/S show that substrate pits with below (almost equal to)20 nm in depth would not be printed on the wafer if they could be smoothed by ML process down to (almost equal to)1 nm in depth on ML surface. Through the investigation of inspectability for programmed pits, minimum pit sizes detected by KLA6xx, AIT, and M7360 depend on ML smoothing performance. Furthermore, printability results for pit defects also correlate with smoothed pit sizes. AIT results for pattemed mask with 32-nm HP L/S represents that minimum printable size of pits could be (almost equal to)28.3 nm of SEVD. In addition, printability of pits became more printable as defocus moves to ( - ) directions. Consequently, printability of phase defects strongly depends on their locations with respect to those of absorber patterns. This indicates that defect compensation by pattern shift could be a key technique to realize zero printable phase defects in EUVL masks.

Book Printability and Inspectability of Defects on the EUV Mask for Sub32nm Half Pitch HVM Application

Download or read book Printability and Inspectability of Defects on the EUV Mask for Sub32nm Half Pitch HVM Application written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, a full field EUV mask is fabricated to see the printability of various defects on the mask. Programmed pit defect shows that minimum printable size of pits could be 17 nm of SEVD from the AIT. However 23.1nm in SEVD is printable from the EUV ADT. Defect printability and identification of its source along from blank fabrication to mask fabrication were studied using various inspection tools. Capture ratio of smallest printable defects was improved to 80% using optimized stack of metrical on wafer and state-of-art wafer inspection tool. Requirement of defect mitigation technology using fiducial mark are defined.

Book Growth and Printability of Multilayer Phase Defects on EUV MaskBlanks

Download or read book Growth and Printability of Multilayer Phase Defects on EUV MaskBlanks written by and published by . This book was released on 2007 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The ability to fabricate defect-free mask blanks is a well-recognized challenge in enabling extreme ultraviolet lithography (EUVL) for semiconductor manufacturing. Both the specification and reduction of defects necessitate the understanding of their printability and how they are generated and grow during Mo-Si multilayer (ML) deposition. A ML phase defect can be depicted by its topographical profile on the surface as either a bump or pit, which is then characterized by height or depth and width. The complexity of such seemingly simple phase defects lies in the many ways they can be generated and the difficulties of measuring their physical shape/size and optical effects on printability. An effective way to study phase defects is to use a programmed defect mask (PDM) as 'model' test sample where the defects are produced with controlled growth on a ML blank and accurate placement in varying proximity to absorber patterns on the mask. This paper describes our recent study of ML phase defect printability with resist data from exposures of a ML PDM on the EUV micro-exposure tool (MET, 5X reduction with 0.3NA).

Book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach

Download or read book Evaluating Printability of Buried Native EUV Mask Phase Defects Through a Modeling and Simulation Approach written by and published by . This book was released on 2015 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect's width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.

Book Determining the Critical Size of EUV Mask Substrate Defects

Download or read book Determining the Critical Size of EUV Mask Substrate Defects written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Determining the printability of substrate defects beneath the extreme ultraviolet (EUV) reflecting multilayer stack is an important issue in EUVL lithography. Several simulation studies have been performed in the past to determine the tolerable defect size on EUV mask blank substrates but the industry still has no exact specification based on real printability tests. Therefore, it is imperative to experimentally determine the printability of small defects on a mask blanks that are caused by substrate defects using direct printing of programmed substrate defect in an EUV exposure tools. SEMATECH fabricated bump type program defect masks using standard electron beam lithography and performed printing tests with the masks using an EUV exposure tool. Defect images were also captured using SEMATECH's Berkeley Actinic Imaging Tool in order to compare aerial defect images with secondary electron microscope images from exposed wafers. In this paper, a comprehensive understanding of substrate defect printability will be presented and printability specifications of EUV mask substrate defects will be discussed.

Book Investigation of Buried EUV Mask Defect Printability Using Actinic Inspection and Fast Simulation

Download or read book Investigation of Buried EUV Mask Defect Printability Using Actinic Inspection and Fast Simulation written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The fast simulator RADICAL and the Actinic Inspection Tool (AIT) are used in advance of availability of high volume manufacturing quality exposure tools, resists, and masks to assess the expected defect printability levels in production conditions. AIT images are analyzed to qualitatively demonstrate general trends in defect printability: defects smaller than 0.5nm tall on the multilayer surface can cause an unacceptable critical dimension (CD) change, CD change increases for taller defects, and defect printability varies asymmetrically through focus. RADICAL is used to derive quantitative limits for defect size and demonstrate the effects of focus and illumination for 22nm and 16nm dense lines. For 22nm dense lines at best focus a 0.8nm tall defect causes a 10% CD change. For 16nm lines a 0.4nm tall defect causes a 10% CD change. The CD is shown to be more sensitive to buried defects out of focus, but less sensitive to defects in focus if annular or dipole illumination is used.

Book Determining the Critcial Size of EUV Mask Substrate Defects

Download or read book Determining the Critcial Size of EUV Mask Substrate Defects written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Determining the printability of substrate defects beneath the extreme ultraviolet (EUV) reflecting multilayer stack is an important issue in EUVL lithography. Several simulation studies have been performed in the past to determine the tolerable defect size on EUV mask blank substrates but the industry still has no exact specification based on real printability tests. Therefore, it is imperative to experimentally determine the printability of small defects on a mask blanks that are caused by substrate defects using direct printing of programmed substrate defect in an EUV exposure tool. SEMATECH fabricated bump type program defect masks using standard electron beam lithography and performed printing tests with the masks using an EUV exposure tool. Defect images were also captured using SEMATECH's Berkeley Actinic Imaging Tool in order to compare aerial defect images with secondary electron microscope images from exposed wafers. In this paper, a comprehensive understanding of substrate defect printability will be presented and printability specifications of EUV mask substrate defects will be discussed.

Book Multilayer Defects Nucleated by Substrate Pits

Download or read book Multilayer Defects Nucleated by Substrate Pits written by J. S. Taylor and published by . This book was released on 2006 with total page 10 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects while simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) in inspection tool. Presently, the occurrence inspection of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern. We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.

Book A Study of Defects on EUV Mask Using Blank Inspection  Patterned Mask Inspection  and Wafer Inspection

Download or read book A Study of Defects on EUV Mask Using Blank Inspection Patterned Mask Inspection and Wafer Inspection written by and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. yet link data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63 cm2. Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.

Book Detectability and Printability of EUVL Mask Blank Defects for The32 Nm HP Node

Download or read book Detectability and Printability of EUVL Mask Blank Defects for The32 Nm HP Node written by and published by . This book was released on 2007 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all printable defects; therefore, understanding defect printability criteria is critical to this tool development. In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.

Book Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects

Download or read book Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects written by Chris Heinz Clifford and published by . This book was released on 2010 with total page 144 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images

Download or read book Quantitative Evaluation of Mask Phase Defects from Through focus EUV Aerial Images written by and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.

Book Soft X Rays and Extreme Ultraviolet Radiation

Download or read book Soft X Rays and Extreme Ultraviolet Radiation written by David Attwood and published by Cambridge University Press. This book was released on 2007-02-22 with total page 611 pages. Available in PDF, EPUB and Kindle. Book excerpt: This detailed, comprehensive book describes the fundamental properties of soft X-rays and extreme ultraviolet (EUV) radiation and discusses their applications in a wide variety of fields, including EUV lithography for semiconductor chip manufacture and soft X-ray biomicroscopy. The author begins by presenting the relevant basic principles such as radiation and scattering, wave propagation, diffraction, and coherence. He then goes on to examine a broad range of phenomena and applications. The topics covered include spectromicroscopy, EUV astronomy, synchrotron radiation, and soft X-ray lasers. The author also provides a wealth of useful reference material such as electron binding energies, characteristic emission lines and photo-absorption cross-sections. The book will be of great interest to graduate students and researchers in engineering, physics, chemistry, and the life sciences. It will also appeal to practising engineers involved in semiconductor fabrication and materials science.

Book Handbook of Photomask Manufacturing Technology

Download or read book Handbook of Photomask Manufacturing Technology written by Syed Rizvi and published by CRC Press. This book was released on 2018-10-03 with total page 728 pages. Available in PDF, EPUB and Kindle. Book excerpt: As the semiconductor industry attempts to increase the number of functions that will fit into the smallest space on a chip, it becomes increasingly important for new technologies to keep apace with these demands. Photomask technology is one of the key areas to achieving this goal. Although brief overviews of photomask technology exist in the literature, the Handbook of Photomask Manufacturing Technology is the first in-depth, comprehensive treatment of existing and emerging photomask technologies available. The Handbook of Photomask Manufacturing Technology features contributions from 40 internationally prominent authors from industry, academia, government, national labs, and consortia. These authors discuss conventional masks and their supporting technologies, as well as next-generation, non-optical technologies such as extreme ultraviolet, electron projection, ion projection, and x-ray lithography. The book begins with an overview of the history of photomask development. It then demonstrates the steps involved in designing, producing, testing, inspecting, and repairing photomasks, following the sequences observed in actual production. The text also includes sections on materials used as well as modeling and simulation. Continued refinements in the photomask-making process have ushered in the sub-wavelength era in nanolithography. This invaluable handbook synthesizes these refinements and provides the tools and possibilities necessary to reach the next generation of microfabrication technologies.

Book Optical Microlithography XXIV

Download or read book Optical Microlithography XXIV written by and published by . This book was released on 2011 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Lithography Process Control

Download or read book Lithography Process Control written by Harry J. Levinson and published by SPIE Press. This book was released on 1999 with total page 210 pages. Available in PDF, EPUB and Kindle. Book excerpt: This text covers lithography process control at several levels, from fundamental through advanced topics. The book is a self-contained tutorial that works both as an introduction to the technology and as a reference for the experienced lithographer. It reviews the foundations of statistical process control as background for advanced topics such as complex processes and feedback. In addition, it presents control methodologies that may be applied to process development pilot lines.