EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Modeling and Testing of Electromigration Effects in Integrated Circuit Interconnects and Contacts Under Time varying Current Conditions

Download or read book Modeling and Testing of Electromigration Effects in Integrated Circuit Interconnects and Contacts Under Time varying Current Conditions written by Boon-Khim Liew and published by . This book was released on 1990 with total page 266 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Electromigration in ULSI Interconnections

Download or read book Electromigration in ULSI Interconnections written by Cher Ming Tan and published by World Scientific. This book was released on 2010 with total page 312 pages. Available in PDF, EPUB and Kindle. Book excerpt: Electromigration in ULSI Interconnections provides a comprehensive description of the electro migration in integrated circuits. It is intended for both beginner and advanced readers on electro migration in ULSI interconnections. It begins with the basic knowledge required for a detailed study on electro migration, and examines the various interconnected systems and their evolution employed in integrated circuit technology. The subsequent chapters provide a detailed description of the physics of electro migration in both Al- and Cu-based Interconnections, in the form of theoretical, experimental and numerical modeling studies. The differences in the electro migration of Al- and Cu-based interconnections and the corresponding underlying physical mechanisms for these differences are explained. The test structures, testing methodology, failure analysis methodology and statistical analysis of the test data for the experimental studies on electro migration are presented in a concise and rigorous manner.Methods of numerical modeling for the interconnect electro migration and their applications to the understanding of electro migration physics are described in detail with the aspects of material properties, interconnection design, and interconnect process parameters on the electro migration performances of interconnects in ULSI further elaborated upon. Finally, the extension of the studies to narrow interconnections is introduced, and future challenges on the study of electro migration are outlined and discussed.

Book Dissertation Abstracts International

Download or read book Dissertation Abstracts International written by and published by . This book was released on 2002 with total page 784 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Electromigration In Ulsi Interconnections

Download or read book Electromigration In Ulsi Interconnections written by Cher Ming Tan and published by World Scientific. This book was released on 2010-06-25 with total page 312 pages. Available in PDF, EPUB and Kindle. Book excerpt: Electromigration in ULSI Interconnections provides a comprehensive description of the electromigration in integrated circuits. It is intended for both beginner and advanced readers on electromigration in ULSI interconnections. It begins with the basic knowledge required for a detailed study on electromigration, and examines the various interconnected systems and their evolution employed in integrated circuit technology. The subsequent chapters provide a detailed description of the physics of electromigration in both Al- and Cu-based Interconnections, in the form of theoretical, experimental and numerical modeling studies. The differences in the electromigration of Al- and Cu-based interconnections and the corresponding underlying physical mechanisms for these differences are explained.The test structures, testing methodology, failure analysis methodology and statistical analysis of the test data for the experimental studies on electromigration are presented in a concise and rigorous manner. Methods of numerical modeling for the interconnect electromigration and their applications to the understanding of electromigration physics are described in detail with the aspects of material properties, interconnection design, and interconnect process parameters on the electromigration performances of interconnects in ULSI further elaborated upon. Finally, the extension of the studies to narrow interconnections is introduced, and future challenges on the study of electromigration are outlined and discussed.

Book Electromigration in Gold Interconnects

Download or read book Electromigration in Gold Interconnects written by Stephen Kilgore and published by . This book was released on 2013 with total page 187 pages. Available in PDF, EPUB and Kindle. Book excerpt: Electromigration in metal interconnects is the most pernicious failure mechanism in semiconductor integrated circuits (ICs). Early electromigration investigations were primarily focused on aluminum interconnects for silicon-based ICs. An alternative metallization compatible with gallium arsenide (GaAs) was required in the development of high-powered radio frequency (RF) compound semiconductor devices operating at higher current densities and elevated temperatures. Gold-based metallization was implemented on GaAs devices because it uniquely forms a very low resistance ohmic contact and gold interconnects have superior electrical and thermal conductivity properties. Gold (Au) was also believed to have improved resistance to electromigration due to its higher melting temperature, yet electromigration reliability data on passivated Au interconnects is scarce and inadequate in the literature. Therefore, the objective of this research was to characterize the electromigration lifetimes of passivated Au interconnects under precisely controlled stress conditions with statistically relevant quantities to obtain accurate model parameters essential for extrapolation to normal operational conditions. This research objective was accomplished through measurement of electromigration lifetimes of large quantities of passivated electroplated Au interconnects utilizing high-resolution in-situ resistance monitoring equipment. Application of moderate accelerated stress conditions with a current density limited to 2 MA/cm2 and oven temperatures in the range of 300°C to 375°C avoided electrical overstress and severe Joule-heated temperature gradients. Temperature coefficients of resistance (TCRs) were measured to determine accurate Joule-heated Au interconnect film temperatures. A failure criterion of 50% resistance degradation was selected to prevent thermal runaway and catastrophic metal ruptures that are problematic of open circuit failure tests. Test structure design was optimized to reduce resistance variation and facilitate failure analysis. Characterization of the Au microstructure yielded a median grain size of 0.91 ìm. All Au lifetime distributions followed log-normal distributions and Black's model was found to be applicable. An activation energy of 0.80 ± 0.05 eV was measured from constant current electromigration tests at multiple temperatures. A current density exponent of 1.91 was extracted from multiple current densities at a constant temperature. Electromigration-induced void morphology along with these model parameters indicated grain boundary diffusion is dominant and the void nucleation mechanism controlled the failure time.

Book American Doctoral Dissertations

Download or read book American Doctoral Dissertations written by and published by . This book was released on 1990 with total page 768 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Physics based Electromigration and Time Dependent Dielectric Breakdown Modeling and Reliability Analysis for Nanometer VLSI Circuits

Download or read book Physics based Electromigration and Time Dependent Dielectric Breakdown Modeling and Reliability Analysis for Nanometer VLSI Circuits written by Xin Huang and published by . This book was released on 2016 with total page 151 pages. Available in PDF, EPUB and Kindle. Book excerpt: Reliability has become a more serious design challenge for current nanometer very-large-scale integrated (VLSI) circuits especially as the technology has advanced into 7nm. It was expected that the future chips would show sign of reliability-induced age much faster than the previous generations. Among many reliability effects, electromigration (EM) and time-dependent-dielectric-breakdown (TDDB) induced back-end-of-line (BEOL) reliability have become major design constraints. EM is a physical phenomenon of the oriented migration of metal (Cu) atoms due to the momentum exchange between atoms and the conducting electrons. It can cause wire resistance change thus functional failure of the system. With aggressive technology scaling, EM signoff is becoming more difficult than before using traditional EM analysis approaches. TDDB is caused by formation of a conducting path through the low-k dielectric between metal. It results in a significant leakage increase between interconnects that degrades the circuit performance and causes the chip operation failure. There is still no universal agreement between the proposed TDDB models and the underlying physics of the dielectric breakdown, especially in BEOL interconnects, is still not completely defined.

Book Fundamentals of Electromigration Aware Integrated Circuit Design

Download or read book Fundamentals of Electromigration Aware Integrated Circuit Design written by Jens Lienig and published by Springer. This book was released on 2018-02-23 with total page 171 pages. Available in PDF, EPUB and Kindle. Book excerpt: The book provides a comprehensive overview of electromigration and its effects on the reliability of electronic circuits. It introduces the physical process of electromigration, which gives the reader the requisite understanding and knowledge for adopting appropriate counter measures. A comprehensive set of options is presented for modifying the present IC design methodology to prevent electromigration. Finally, the authors show how specific effects can be exploited in present and future technologies to reduce electromigration’s negative impact on circuit reliability.

Book Elements of Electromigration

Download or read book Elements of Electromigration written by King-Ning Tu and published by CRC Press. This book was released on 2024-01-19 with total page 143 pages. Available in PDF, EPUB and Kindle. Book excerpt: In this invaluable resource for graduate students and practicing professionals, Tu and Liu provide a comprehensive account of electromigration and give a practical guide on how to manage its effects in microelectronic devices, especially newer devices that make use of 3D architectures. In the era of big data and artificial intelligence, next-generation microelectronic devices for consumers must be smaller, consume less power, cost less, and, most importantly, have higher functionality and reliability than ever before. However, with miniaturization, the average current density increases, and so does the probability of electromigration failure. This book covers all critical elements of electromigration, including basic theory, various failure modes induced by electromigration, methods to prevent failure, and equations for predicting mean-time-to-failure. Furthermore, effects such as stress, Joule heating, current crowding, and oxidation on electromigration are covered, and the new and modified mean-time-to-failure equations based on low entropy production are given. Readers will be able to apply this information to the design and application of microelectronic devices to minimize the risk of electromigration-induced failure in microelectronic devices. This book essential for anyone who wants to understand these critical elements and minimize their effects. It is particularly valuable for both graduate students of electrical engineering and materials science engineering and engineers working in the semiconductor and electronic packaging technology industries.

Book Electromigration Phenomena in 0 13 Micron Copper Interconnects

Download or read book Electromigration Phenomena in 0 13 Micron Copper Interconnects written by Yan Zhang and published by . This book was released on 2005 with total page 178 pages. Available in PDF, EPUB and Kindle. Book excerpt: Cu/low-k interconnects have replaced many A1 interconnects recently in Integrated Circuits with 0.13 pm technology and beyond. These technologies confine many recent fabrication processes, such as Chemical Mechanical Polishing with dual-damascene Cu electrodepositing, new materials and via processes. This thesis focuses on new reliability challenges that have developed with the changes in materials and processes. In particular, electromigration dominates the failure mechanisms in interconnects. We report an unusual circuit failure mode induced by short-lived extrusions observed during DC and bidirectional electromigration tests. This novel "soft" failure mode consists of extrusions forming, then self-dissolving before the traditional permanent void or extrusion failure. These failures shorten the lifetime significantly and bring new challenges to reliability tests. Two self-dissolution mechanisms under DC test conditions are discussed and extrusion shape evolution is modeled assuming both capillary and electron wind forces are present. Our model confirms that the electrical stress will accelerate the shape evolution process.

Book Electromigration in Integrated Circuit Interconnects

Download or read book Electromigration in Integrated Circuit Interconnects written by Peter John Clarke and published by . This book was released on 1993 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt:

Book Modeling of and Experiments Characterizing Electromigration induced Failures in Interconnects

Download or read book Modeling of and Experiments Characterizing Electromigration induced Failures in Interconnects written by Vaibhav Kumar Andleigh and published by . This book was released on 2001 with total page 666 pages. Available in PDF, EPUB and Kindle. Book excerpt: (Cont.) A failure mechanism map constructed for damascene Cu demonstrates the absence of immortality by resistance saturation due to the shunt structure. Finally, proposed damascene designs eliminating the diffusion barrier at the studs may be expected to have an adverse effect on interconnect reliability due to the loss of short length effects. The test structure developed in this thesis provides a simple means of testing the effects of new shunt and barrier layer technologies on the reliability of Cu-based interconnects. Through the use of the simulation, an accurate methodology for predicting the reliability of Al- and Cu-based interconnects in semiconductor chips has been developed. MIT/EmSim is now being used by Motorola and LSI Logic for evaluating interconnect reliability during the design of future Cu interconnects, and has also been used by numerous SRC-companies and universities through EmSim-Web for electromigration research.

Book Electromigration and Electronic Device Degradation

Download or read book Electromigration and Electronic Device Degradation written by A. Christou and published by Wiley-Interscience. This book was released on 1994 with total page 370 pages. Available in PDF, EPUB and Kindle. Book excerpt: Addresses electromigration failure modes in electronics covering both theory and experiments. Reviews silicon and GaAs technologies. Various rate controlling details are summarized including an investigation of temperature dependence. Concludes with a discussion regarding current status and future plans for electromigration resistant advanced metallization systems for VLSI.

Book Electromigration in Cu Interconnects

Download or read book Electromigration in Cu Interconnects written by Dr. Arijit Roy and published by LAP Lambert Academic Publishing. This book was released on 2011-07 with total page 144 pages. Available in PDF, EPUB and Kindle. Book excerpt: This work is intended for the beginners and the advanced readers. Electromigration is VLSI/ULSI interconnection remains one of the major failure issues in microelectronics and electromigration remains an attractive research area in last few decades. This work attempts to explore the driving force formalism of the electromigration phenomenon.The prime interest of this work is to investigate the physics of failure in submicron (down to 100 nm wide) Cu interconnections including the effect of surrounding materials. A combined driving force model, including the forces from the stress and temperature gradients is presented. In order to develop the combined driving force model, commercial finite element analysis package is used. Plenty of experiments on Cu damascene interconnects are conducted, and extensive failure analyses are performed to investigate the root causes of electromigration failure. Good correlations between the model predictions and experiments are obtained. The future challenges on the study of electromigration are also discussed.

Book Study of Initial Void Formation and Electron Wind Force for Scaling Effects on Electromigration in Cu Interconnects

Download or read book Study of Initial Void Formation and Electron Wind Force for Scaling Effects on Electromigration in Cu Interconnects written by Zhuojie Wu and published by . This book was released on 2013 with total page 364 pages. Available in PDF, EPUB and Kindle. Book excerpt: The continuing scaling of integrated circuits beyond 22nm technology node poses increasing challenges to Electromigration (EM) reliability for Cu on-chip interconnects. First, the width of Cu lines in advanced technology nodes is less than the electron mean free path which is 39nm in Cu at room temperature. This is a new size regime where any new scaling effect on EM is of basic interest. And second, the reduced line width necessitates the development of new methods to analyze the EM characteristics. Such studies will require the development of well controlled processes to fabricate suitable test structures for EM study and model verification. This dissertation is to address these critical issues for EM in Cu interconnects. The dissertation first studies the initial void growth under EM, which is critical for measurement of the EM lifetime and statistics. A method based on analyzing the resistance traces obtained from EM tests of multi-link structures has been developed. The results indicated that there are three stages in the resistance traces where the rate of the initial void growth in Stage I is lower than that in Stage III after interconnect failure and they are linearly correlated. An analysis extending the Korhonen model has been formulated to account for the initial void formation. In this analysis, the stress evolution in the line during void growth under EM was analyzed in two regions and an analytic solution was deduced for the void growth rate. A Monte Carlo grain growth simulation based on the Potts model was performed to obtain grain structures for void growth analysis. The results from this analysis agreed reasonably well with the EM experiments. The next part of the dissertation is to study the size effect on the electron wind force for a thin film and for a line with a rectangular cross section. The electron wind force was modeled by considering the momentum transfer during collision between electrons and an atom. The scaling effect on the electron wind force was found to be represented by a size factor depending on the film/line dimensions. In general, the electron wind force is enhanced with increasing dimensional confinement. Finally, a process for fabrication of Si nanotrenches was developed for deposition of Cu nanolines with well-defined profiles. A self-aligned sub-lithographic mask technique was developed using polymer residues formed on Si surfaces during reactive ion etching of Si dioxide in a fluorocarbon plasma. This method was capable to fabricate ultra-narrow Si nanotrenches down to 20nm range with rectangular profiles and smooth sidewalls, which are ideal for studying EM damage mechanisms and model verification for future technology nodes.

Book Chemical Abstracts

Download or read book Chemical Abstracts written by and published by . This book was released on 2002 with total page 2540 pages. Available in PDF, EPUB and Kindle. Book excerpt: