EBookClubs

Read Books & Download eBooks Full Online

EBookClubs

Read Books & Download eBooks Full Online

Book Actinic EUV Mask Inspection Beyond 0 25 NA

Download or read book Actinic EUV Mask Inspection Beyond 0 25 NA written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Operating at EUV wavelengths, the SEMATECH Berkeley Actinic Inspection Tool (AIT) is a zoneplate microscope that provides high quality aerial image measurements in routine operations for SEMATECH member companies. We have upgraded the optical performance of the AIT to provide multiple image magnifications, and several inspection NA values up to 0.35 NA equivalent (0.0875 mask-side). We report on the improved imaging capabilities including resolution below 100-nm on the mask side (25 nm, 4x wafer equivalent). EUV reticles are intricate optical systems made from of several materials with wavelength-specific optical properties. The combined interactions of the substrate, multilayer-stack, buffer layer and absorber layer produce a reflected EUV optical field that is challenging to model accurately, and difficult to fully assess without actinic at-wavelength inspection. Understanding the aerial image from lithographic printing alone is complicated by photoresist properties. The AIT is now used to investigate mask issues such as amplitude and phase defect printability, pattern repair techniques, contamination, inspection damage, and mask architecture. The AIT has a 6{sup o} illumination angle, and high-resolution exposure times are typically 20 seconds per image. The AIT operates semi-automatically capturing through-focus imaging series with step sizes as small as 0.1 [mu]m (0.5-0.8 [mu]m are typical), and a step resolution of 0.05 [mu]m. We believe it is the most advanced EUV mask inspection tool in operation today. In the AIT, an EUV image of the mask is projected by a zoneplate lens with high magnification (680-910x) onto a CCD camera. The CCD over-samples the image, providing equivalent pixel sizes down to 15 nm in mask coordinates-several image pixels per resolution element. The original AIT zoneplate specifications were designed to emulate the resolution of a 0.25-NA 4x stepper, and thorough benchmarking analysis of the aberrations, flare, contrast-transfer function, and coherence was published in 2007 [1] (see Fig 1). Recent upgrades have also included changes to improve the illumination uniformity and increase the partial coherence [sigma] value. Five different zoneplate lenses are installed side-by-side to enable the AIT to emulate various stepper optical properties (see Fig. 2).

Book Benchmarking EUV Mask Inspection Beyond 0 25 NA

Download or read book Benchmarking EUV Mask Inspection Beyond 0 25 NA written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-[mu]m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured [sigma] values of approximately 0.125 at 0.0875 NA.

Book Key Challenges in EUV Mask Technology

Download or read book Key Challenges in EUV Mask Technology written by Yow-Gwo Wang and published by . This book was released on 2005 with total page 134 pages. Available in PDF, EPUB and Kindle. Book excerpt: This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.

Book Actinic Inspection of Multilayer Defects on EUV Masks

Download or read book Actinic Inspection of Multilayer Defects on EUV Masks written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.

Book A Dual Mode Actinic EUV Mask Inspection Tool

Download or read book A Dual Mode Actinic EUV Mask Inspection Tool written by and published by . This book was released on 2005 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: To qualify the performance of non-actinic inspection tools, a novel EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron facility at Lawrence Berkeley National Laboratory. Similar to the older generation actinic mask inspection tool, the new system can operate in scanning mode, when mask blanks are scanned for defects using 13.5-nm in-band radiation to identify and map all locations on the mask that scatter a significant amount of EUV light. By modifying and optimizing beamline optics (11.3.2 at ALS) and replacing K-B focusing mirrors with a high quality Schwarzschild illuminator, the new system achieves an order of magnitude improvement on in-band EUV flux density at the mask, enabling faster scanning speed and higher sensitivity to smaller defects. Moreover, the system can also operate in imaging mode, when it becomes a zone-plate-based full-field EUV microscope with spatial resolution better than 100 nm. The microscope utilizes an off-axis setup, making it possible to obtain bright field images over a field-of-view of 5 x 5 [micro]m.

Book Wavelength specific Reflections

Download or read book Wavelength specific Reflections written by and published by . This book was released on 2010 with total page 27 pages. Available in PDF, EPUB and Kindle. Book excerpt: Mask inspection is essential for the success of any pattern-transfer lithography technology, and EUV Lithography in particular faces unique challenges. EUV masks resonant-reflective multilayer coatings have a narrow, wavelength-specific response that dramatically affects the way that defects appear, or disappear, at various illuminating wavelengths. Furthermore, the ever-shrinking size of 'critical' defects limits the potential effectiveness of DUV inspection techniques over time. Researchers pursuing numerous ways of finding and characterizing defects on EUV masks and have met with varying degrees of success. Their lessons inform the current, urgent exploration to select the most effective techniques for high-volume manufacturing. Ranging from basic research and demonstration experiments to commercial inspection tool prototypes, we survey the recent history of work in this area, including sixteen projects in Europe, Asia, and America. Solutions range from scanning beams to microscopy, dark field imaging to pattern transfer.

Book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch

Download or read book EUV Actinic Defect Inspection and Defect Printability at the Sub 32 Nm Half Pitch written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

Book Investigation of Buried EUV Mask Defect Printability Using Actinic Inspection and Fast Simulation

Download or read book Investigation of Buried EUV Mask Defect Printability Using Actinic Inspection and Fast Simulation written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The fast simulator RADICAL and the Actinic Inspection Tool (AIT) are used in advance of availability of high volume manufacturing quality exposure tools, resists, and masks to assess the expected defect printability levels in production conditions. AIT images are analyzed to qualitatively demonstrate general trends in defect printability: defects smaller than 0.5nm tall on the multilayer surface can cause an unacceptable critical dimension (CD) change, CD change increases for taller defects, and defect printability varies asymmetrically through focus. RADICAL is used to derive quantitative limits for defect size and demonstrate the effects of focus and illumination for 22nm and 16nm dense lines. For 22nm dense lines at best focus a 0.8nm tall defect causes a 10% CD change. For 16nm lines a 0.4nm tall defect causes a 10% CD change. The CD is shown to be more sensitive to buried defects out of focus, but less sensitive to defects in focus if annular or dipole illumination is used.

Book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology

Download or read book Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology written by and published by . This book was released on 2009 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMA TECH's Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages.

Book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements

Download or read book Actinic Inspection of EUV Programmed Multilayer Defects and Cross Comparison Measurements written by J. S. Taylor and published by . This book was released on 2006 with total page 16 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for extreme ultraviolet (EUV) lithography. Integral to this effort is the development and characterization of mask inspection tools that are sensitive enough to detect critical defects with high confidence. Using a single programmed-defect mask with a range of buried bump-type defects, we report a comparison of measurements made in four different mask-inspection tools: one commercial tool using 488-nm wavelength illumination, one prototype tool that uses 266-nm illumination, and two non-commercial EUV ''actinic'' inspection tools. The EUV tools include a darkfield imaging microscope and a scanning microscope. Our measurements show improving sensitivity with the shorter wavelength non-EUV tool, down to 33-nm spherical-equivalent-volume diameter, for defects of this type. Measurements conditions were unique to each tool, with the EUV tools operating at a much slower inspection rate. Several defects observed with EUV inspection were below the detection threshold of the non-EUV tools.

Book Comparison of Fast 3D Simulation and Actinic Inspection for EUV Masks with Buries Defects

Download or read book Comparison of Fast 3D Simulation and Actinic Inspection for EUV Masks with Buries Defects written by and published by . This book was released on 2009 with total page 10 pages. Available in PDF, EPUB and Kindle. Book excerpt: Aerial images for isolated defects and the interactions of defects with features are compared between the Actinic Inspection Tool (AIT) at Lawrence Berkeley National Laboratory (LBNL) and the fast EUV simulation program RADICAL. Comparisons between AIT images from August 2007 and RADICAL simulations are used to extract aberrations. At this time astigmatism was the dominant aberration with a value of 0.55 waves RMS. Significant improvements in the imaging performance of the AIT were made between August 2007 and December 2008. A good match will be shown between the most recent AIT images and RADICAL simulations without aberrations. These comparisons will demonstrate that a large defect, in this case 7nm tall on the surface, is still printable even if it is centered under the absorber line. These comparisons also suggest that the minimum defect size is between 1.5nm and 0.8nm surface height because a 1.5nm defect was printable but a 0.8nm was not. Finally, the image of a buried defect near an absorber line through focus will demonstrate an inversion in the effect of the defect from a protrusion of the dark line into the space to a protrusion of the space into the line.

Book Multilayer Defects Nucleated by Substrate Pits

Download or read book Multilayer Defects Nucleated by Substrate Pits written by J. S. Taylor and published by . This book was released on 2006 with total page 10 pages. Available in PDF, EPUB and Kindle. Book excerpt: The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects while simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) in inspection tool. Presently, the occurrence inspection of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern. We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.

Book EUV Lithography

    Book Details:
  • Author : Vivek Bakshi
  • Publisher : SPIE Press
  • Release : 2009
  • ISBN : 0819469645
  • Pages : 704 pages

Download or read book EUV Lithography written by Vivek Bakshi and published by SPIE Press. This book was released on 2009 with total page 704 pages. Available in PDF, EPUB and Kindle. Book excerpt: Editorial Review Dr. Bakshi has compiled a thorough, clear reference text covering the important fields of EUV lithography for high-volume manufacturing. This book has resulted from his many years of experience in EUVL development and from teaching this subject to future specialists. The book proceeds from an historical perspective of EUV lithography, through source technology, optics, projection system design, mask, resist, and patterning performance, to cost of ownership. Each section contains worked examples, a comprehensive review of challenges, and relevant citations for those who wish to further investigate the subject matter. Dr. Bakshi succeeds in presenting sometimes unfamiliar material in a very clear manner. This book is also valuable as a teaching tool. It has become an instant classic and far surpasses others in the EUVL field. --Dr. Akira Endo, Chief Development Manager, Gigaphoton Inc. Description Extreme ultraviolet lithography (EUVL) is the principal lithography technology aiming to manufacture computer chips beyond the current 193-nm-based optical lithography, and recent progress has been made on several fronts: EUV light sources, optics, optics metrology, contamination control, masks and mask handling, and resists. This comprehensive volume is comprised of contributions from the world's leading EUVL researchers and provides all of the critical information needed by practitioners and those wanting an introduction to the field. Interest in EUVL technology continues to increase, and this volume provides the foundation required for understanding and applying this exciting technology. About the editor of EUV Lithography Dr. Vivek Bakshi previously served as a senior member of the technical staff at SEMATECH; he is now president of EUV Litho, Inc., in Austin, Texas.

Book Evaluating EUV Mask Pattern Imaging with Two EUV Microscopes

Download or read book Evaluating EUV Mask Pattern Imaging with Two EUV Microscopes written by and published by . This book was released on 2008 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare. These measurements reveal the current state of the art in at-wavelength inspection performance, and will be a useful reference as performance improves over time.

Book Aerial Image Microscopes for the Inspection of Defects in EUV Masks

Download or read book Aerial Image Microscopes for the Inspection of Defects in EUV Masks written by and published by . This book was released on 2002 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The high volume inspection equipment currently available to support development of EUV blanks is non-actinic. The same is anticipated for patterned EUV mask inspection. Once potential defects are identified and located by such non-actinic inspection techniques, it is essential to have instrumentation to perform detailed characterization, and if repairs are performed, re-evaluation. The ultimate metric for the acceptance or rejection of a mask due to a defect, is the wafer level impact. Thus measuring the aerial image for the site under question is required. An EUV Aerial Image Microscope (''AIM'') similar to the current AIM tools for 248nm and 193nm exposure wavelength is the natural solution for this task. Due to the complicated manufacturing process of EUV blanks, AIM measurements might also be beneficial to accurately assessing the severity of a blank defect. This is an additional application for an EUV AIM as compared to today's use In recognition of the critical role of an EUV AIM for the successful implementation of EUV blank and mask supply, International SEMATECH initiated this design study with the purpose to define the technical requirements for accurately simulating EUV scanner performance, demonstrating the feasibility to meet these requirements and to explore various technical approaches to building an EUV AIM tool.

Book Thorough Characterization of a EUV Mask

Download or read book Thorough Characterization of a EUV Mask written by and published by . This book was released on 2009 with total page 11 pages. Available in PDF, EPUB and Kindle. Book excerpt: We reported that we were successful in our 45nm technology node device demonstration in February 2008 and 22nm node technology node device patterning in February 2009 using ASML's Alpha Demo Tool (ADT). In order to insert extreme ultraviolet (EUV) lithography at the 15nm technology node and beyond, we have thoroughly characterized one EUV mask, a so-called NOVACD mask. In this paper, we report on three topics, The first topic is an analysis of line edge roughness (LER) using a mask Scanning Electron Microscope (SEM), an Atomic Force Microscope (AFM) and the Actinic Inspection Tool (AIT) to compare resist images printed with the ASML ADT. The results of the analysis show a good correlation between the mask AFM and the mask SEM measurements, However, the resist printing results for the isolated space patterns are slightly different. The cause ofthis discrepancy may be resist blur, image log slope and SEM image quality and so on. The second topic is an analysis of mask topography using an AFM and relative reflectivity of mirror and absorber surface using the AIT, The AFM data show 6 and 7 angstrom rms roughness for mirror and absorber, respectively. The reflectivity measurements show that the mirror reflects EUV light about 20 times higher than absorber. The last topic is an analysis of a 32nm technology node SRAM cell which includes a comparison of mask SEM image, AIT image, resist image and simulation results. The ADT images of the SRAM pattern were of high quality even though the mask patters were not corrected for OPC or any EUV-specific effects. Image simulation results were in good agreement with the printing results.

Book EUV Sources for Lithography

Download or read book EUV Sources for Lithography written by Vivek Bakshi and published by SPIE Press. This book was released on 2006 with total page 1104 pages. Available in PDF, EPUB and Kindle. Book excerpt: This comprehensive volume, edited by a senior technical staff member at SEMATECH, is the authoritative reference book on EUV source technology. The volume contains 38 chapters contributed by leading researchers and suppliers in the EUV source field. Topics range from a state-of-the-art overview and in-depth explanation of EUV source requirements, to fundamental atomic data and theoretical models of EUV sources based on discharge-produced plasmas (DPP) and laser-produced plasmas, to a description of prominent DPP and LPP designs and other technologies for producing EUV radiation. Additional topics include EUV source metrology and components (collectors, electrodes), debris mitigation, and mechanisms of component erosion in EUV sources. The volume is intended to meet the needs of both practitioners of the technology and readers seeking an introduction to the subject.